From 7b5d897dcc2fb3068a688f1e9dd6806dc335b937 Mon Sep 17 00:00:00 2001 From: Max Date: Sat, 8 Jul 2023 16:27:39 +0200 Subject: [PATCH] GERBER files added --- PCB/production/designators.csv | 135 ++++++ PCB/production/gerber.zip | Bin 0 -> 321661 bytes PCB/production/netlist.ipc | 782 +++++++++++++++++++++++++++++++++ PCB/production/positions.csv | 115 +++++ 4 files changed, 1032 insertions(+) create mode 100644 PCB/production/designators.csv create mode 100644 PCB/production/gerber.zip create mode 100644 PCB/production/netlist.ipc create mode 100644 PCB/production/positions.csv diff --git a/PCB/production/designators.csv b/PCB/production/designators.csv new file mode 100644 index 0000000..1295b21 --- /dev/null +++ b/PCB/production/designators.csv @@ -0,0 +1,135 @@ +AE1:1 +C1:1 +C10:1 +C11:1 +C12:1 +C13:1 +C14:1 +C15:1 +C16:1 +C17:1 +C18:1 +C19:1 +C2:1 +C20:1 +C21:1 +C22:1 +C23:1 +C24:1 +C25:1 +C3:1 +C4:1 +C5:1 +C6:1 +C7:1 +C8:1 +C9:1 +D1:1 +D2:1 +D3:1 +D4:1 +D5:1 +F1:1 +FID1:1 +FID2:1 +FID3:1 +FID4:1 +G***:2 +H1:1 +H2:1 +H3:1 +H4:1 +H5:1 +H6:1 +H7:1 +J1:1 +J2:1 +J3:1 +J4:1 +Q1:1 +Q2:1 +Q3:1 +Q4:1 +Q5:1 +R1:1 +R10:1 +R11:1 +R12:1 +R13:1 +R14:1 +R15:1 +R16:1 +R17:1 +R18:1 +R19:1 +R20:1 +R21:1 +R22:1 +R23:1 +R24:1 +R25:1 +R26:1 +R27:1 +R28:1 +R29:1 +R30:1 +R31:1 +R32:1 +R33:1 +R34:1 +R35:1 +R36:1 +R37:1 +R38:1 +R39:1 +R40:1 +R6:1 +R7:1 +R8:1 +R9:1 +REF**:4 +S1:1 +S10:1 +S11:1 +S12:1 +S13:1 +S14:1 +S15:1 +S16:1 +S17:1 +S18:1 +S19:1 +S2:1 +S20:1 +S22:1 +S23:1 +S24:1 +S25:1 +S26:1 +S3:1 +S4:1 +S5:1 +S6:1 +S7:1 +S8:1 +S9:1 +U1:1 +U2:1 +U3:1 +U4:1 +U5:1 +U6:1 +U7:1 +U8:1 +kibuzzard-63D97EAF:1 +kibuzzard-643EE23D:1 +kibuzzard-643EE46C:1 +kibuzzard-643EE532:1 +kibuzzard-643EE5A3:1 +kibuzzard-643EE5B9:1 +kibuzzard-643EE600:1 +kibuzzard-643EE8B3:1 +kibuzzard-644014FA:1 +kibuzzard-64A91BF0:1 +kibuzzard-64A91C1C:1 +kibuzzard-64A91C24:1 diff --git a/PCB/production/gerber.zip b/PCB/production/gerber.zip new file mode 100644 index 0000000000000000000000000000000000000000..9d440313033eb050d4b1f11aae60b316e6cb8428 GIT binary patch literal 321661 zcmY&;WmFtZ*DVqtSO^x}ZGyW8cbx$ScXxLfAUFfTf&{nV?he5nf;$8Y!QEvp@ALh- zt9z}gbINw@K6R=~fQpFk@ZjL!-ovSe{L|cs^G-5CgoC3;hJ!#;DZTq%L-p=GJSThP2ex+@ zGYTHY!wp^c?~vT-kR*nJ@>sv(v3mc?;#4i8PT-utPekDC@F+p_6_x!haer`j<;LQA zmeM*GIvQOI#^xJ`$GMU}EjU?d_gudpI2|sS?NyHc9m?_E?UZ(Zb$8K)P>i2*UgA4c zKuFD2NaECeFd5mtw7IBxZM?yN!f4fZhlfCSj>4&79eVy3xxv`DrzTh&DV3>3e^Yt& zh1oM>Fe3<#x2t0DwY5_Enr_4G{1m8-jpw_En0fvPI0+GA>)<##HV>F1cQI(4xKSN+Bm-WIhr_>!I%4 zjCiar-VuA$_V`9VVJ>QTTTSV$!p(1G zLeG?a1hs10POI`Ra@3JG36wd0g`^@MRpcZF|q^uQ-`z{1ea8d)3Bll277TT@#e+mv}vD z@_0+S*>6Iva>o$N@xKp_+egd(83Sv83NyrIE(h+H&=#e4gn&THpRb6puPB*9uA&`G zK6GWR98{=-nX2k!H$&qvzDpCn3cJr$EWS@nWe9;~ATd(oF+`r#T^ zm0|)!&L71NoW#%tbG;Zm)0QkuQ=<&%{}C!9un9M$VBd=O4--8tb<@C~YibSj?FiXoW?up2x4a=os<-2hwN0QF~0|Fdt5{Eu3e0uGL97Y+{njeSQ8cY7N* zcUBu`v&t;RI8wgEpfluW3gV?`qyjB}(bz)9DJ51m=`A( zbsblRjj{cd@%7gjw84z=YA>&Sw$wPUn3wy$#rF372vybQ_N%Lt16CLo?D4J}b|ab! zdpv7zZ;zk|j9+_YhN%a%AH6=_9%#UBrqFjb0={tEzF5(RHU2&Gqc7xq6#A|Yo9H!K zJkQBki@+Jw`2>X8Obh|HrXC{`gN1k_%ShbU9>npu#wi+l+87H?a+gRHY%F9497Cai zGrF#x*}dn>t!t_LpXH;*t+OD_QM8*c&stZO8rt|;@7IRk%?*94t5Y*i>H+0cvE_&wT5H^)``XYbga_u6ti^JUX1F{5q%-$O zV-ji=xA}QJGA!52X)n8+t2T0tTJ1ddaJ2ybYzPW9>3}3fwZ{8U=(1RXa6z zH@yUF*5h$$51bo0i;kjh0q3f*-29aC?cbZv5XEGWOUh3s%WVj}1k(Ua>XzuRKAC>B z2oaIe0Q#;C+i&K6_`n{Og*|B}G}_WomaipA;{L#&`rhYVp+(b)8lg}L#;9w;wF2iD zip5?ZM{LQ*TFlpAs^{OVNYWZ5O+@$s%@tasV?A6Yy<3XduEJs=-MN@u^hCSo5hxb; z!JX!h&oxln&sk~Df8r||Zl8Y`0SV8{Rg}hao6t{U7)yFE2hSOcQ^cPO%Ig@H%j0um zUV~Y^D;pTAQ5@Q1i}-awbjW;!uid;hew5#c&BydV%s>BQBkZUfag=BQb>i&t%eM0z zx@b>kg$R)8gU?G2Fpw?&6pa3kb-P~_*Fgdmo`?7eSQGiz41aFKvz%)}PtHnp-}sQ- zQ+C1`&KV*T9!+ZW*$&wPe+DP@2%}GP&PS`CHFC~1VySgO06?4!u3-_*5P6WnbMmDl zoE2D78KuPfeQQiCvvcd5`+do$j4Nh9i*tW+i#sm7QDNU$sAWMssgbvEYczb<6-d;= zDn9LepBHx1+R?ZEr_4X*rJmxtpoiD3mwZ>v!kCnXNiT_N7&@HgB?T$`hsPw-cac2C zSQfs+M51q_Hf?MvbE8nAA!kBWFABKg>`Tepo1_kvE-+==ium$Ns9hh8#*R}+lde;6 z@OnU7kJK%*5l`p%LK~|}A$o2I)H4{javP6agy~u!%Mx-yEx;zw!8lOCoyT@H#I!J^Pxb_? z9{$k~GvC}Mi2MRwbC%%7?)P=_hw6sWZ-W>Lh4%^v@e-I-qj;TaeICe#^$7{J#e%x- zb%Kl1-zZLglyF+)J$P%Ae7NSIi(VKK_+>ax?K4+>0hhS9(O(1g{k}r#+7XcaVVpx# z1365AH5r{Z{rphB5=^y7X0T`xH(XPsx~O2bP+Bwt=+l1_JgbYJ6cMBshU7PYn%mqS5|B!tjvTmO%)Ggm=D=Q^6*e?Im zzho?+dnoMcCf2BV`4OX#Rg6ETfJ5?N$9qQx)0Igu442y%xpB(I8+aE&y?gOF`coMh zuv>6)Iz#s*lHhvoRYKWbWdEnjBeTp?>K z622a%Yy=UyOGlyM-+>NP_+%@*rCh)}^b@WNqmUw=wUkqsd1%TQU6gje54m;j(L2%t zIj(-V-d22d!n%#twWf0L#W?fxDBXgwvg~>&%N_j>3S}0vXXluVc$ZKZ;jC4MTWQ|M zo1@(2xQkIwX1zUb|CSE3kVFQW?`+{QVQ)4i@~f~Y{E_3fOb6Lg7G?Zrd5BmakNLhm z`E0UhLp-%@GQcZgS;mdIe7wNfWA`W&R^$=B; zMG*(YVA)6dI#E+&*`j`v9j0&TuE_6e6wzV`7;sL}J<}!sL(k1-=7h55AH}H<8*rZz zUmli(I2taZ1^qCr<{-cQ;heu~0~k3Ra)_}L61|f0P;O0yYyq~KmnaeT&9r+tg!3yL zyN*>W*phWXB?C#aqU;C3&Gd`@AcdTNDInVrW}sO=Z$to{3B{2RX}RtruGD#Sy$ly+ zn-l~92SI<$>gEpqj_El8+>2COgdMD94QxrB6-=?LTsj_luda}M@%9eg8;Dp1TXPGJ z30Jd~HpIb=ob@a>&Uy=_q`)={%uc**U+AITUH?>n~5GdEYT-)k+%=MvC+ucS-O!mZYtPzmzLOSCJuw#-@C(RrPp#c zl0^gMs~^|AoSrfXr;yq>J)GRxAsp;`8~1j<6Efrg&Y9>?b-;l#H{|`{YQ+93>A(fJ zRadT-zO+O$a7Yh+@!SkgV}r6AwzE!(q&W|i8GNM-^Tne$6^+DbcMYo^h3en$DJ*C? zN*ucHRpnQyUcG?v^piat$!^XAUIpjY&km?kpG3PQG|2VG2_#KpNR-_fZeL2Q5kPpr z@ZjJ&#=C!G^V!}O02wT@!+oscVup7}7GO}iPF(&a8{mu$OjNa&Tb>yEM9nk_tnG$9 zU${QTojzm*Ba|m@q3FxP>trG2X>BC037D5lOVcot?>mM}UA|`c^GQ;zu#O9&b%=Z6 z6Q?F$j0lx4aSwtUB3~B0-0+gX?CK=I7vvlRHRMhyAJEMClHddR8Qd#brE5gnyZH7% z{>{KI26OGGg>o9>Lm<2wEhIX4#&?>Y_((~4xj{<|NpX8wWaS8^P5?DcF`~)-GSgz( zxV3-Rn%ex*N~_>8XZkm~c;JLrj3v1CoR7>*988ue~zdf{^#}b2}45f8AE1_(lUjrAjrZRaJo(7_nvW;$tCdh z-m!_1(v#>&upD4b6bY=%Gi9u?0}m5b02DNT35mM;hfJ=_U=kp}ki<)F<)k4h78b)+ zAH~0N-MP* z-zHnkLse=z+xNk!+%pb3KOW3LvO=@^dqTYErk9UN3ohGtgm_m4b*6isFnCj^5|>)O zxt1lSL%6pP-jrbP^$)yhH9-IPhxjS*p&A#LO9RiZEe;#jL@;0^e$t4+HOEmWC_%(% zt4$;U%*d%H(F#Z9@keHut63tk)j!64t4J{*ekcuy64{ym;iI288PzSccYyWy;X1iH z2{_kz1gz>&4AozKp-Ms}f{-f2cCbFb2Y^6LvN}PGY^;d@LVJQF_>viaDp2tho6%93 zdp9E{uu1rHYp`z#V7>rPELmjo6>}6xA%%cfvp?YFX76^cGs6gkkUJ_APs^AxoYUpi z1_qJlHW+Rimo=FIkb!#>N;pW_$E*Od7k8)zuv}n&L-K%b3c%J16pHcyF6Q1zL^I{bJJMtA-eyQ3w;;(BP)d7E~N*9nc2{KNmwFPXMXmElOs^|g`LApn-=$*9PDEryDCSHuWLP=A2dZ7Q${5Nh8 zh8r;_Md#E&iVg&msXm}X{t&E2jW>!(pxm5))P?XH=WbD@(?r;xK)~;Hhuq(iH-QA|pUDUoI7`3mFW|-4MwG$f_c*azoKXhfCsQK;odXgw>}h ztYTv&zkTU~65zLnd|D_5WzG#G-XCq=`*yO>456dm^}$pw-Y;t-s-(0o3q+mg9*3~e zn)h=DfaX|}8|38(IGr0UGuKT%)JwRkV;A!7eK_KWv z^DQ|v{~GOY2+@DBhAbSRV=PS_`F)FsbmXtby&0eyQSCr+9DKcRZ4JbJ4iPUsWm*}) ze90ae@VqCEG`Uq!nU%B9VR}BWLg)B$jHNd{;MPo_v*3vXC%mdGZ~H2VyDV;%t=~IQ zqiFo5mOHQzPcQ)o7|09+YKjvqfd05?#X*6{d#<6RPK@pbWB76Ez+q&cfUFtzBA~{n z_Cw!ms9JdNj06cD(sudKniulWvQGBqi!rO<;}TA!Jp2#Rf8iJ8QJ%2S8N-5-u~`rL z8z{n%kHj9j>_;TEG1>g}vx+f9OWHE~b9dO+Dc(pW5>32DadwA$xrQeOJUY)^esp)R z$F7XcDYGx~S57=UPFHo1$~NFOTxJi>4MxX1#u zhJvC_*@iaR!0Zi5lXwyZ-|&K8@%wB!tXCK<`P)*K*>%t$fV&Q;o}KUVp=4N0p*^Kn;$4kS6y(Ee(cb`iGbw0yKTG{J#N&H-nDEc@<73=N*3M6?2>oR54^H50>+v;% z=pW;I1TCc{+xdoNi}zv;ex3?d(9+KkH_WIke9pZKAPcKF{9urrx)&S8KI&1D%tUBK zZE0PSi%A0N1|rrf>{e6%ox-ufMHv3$FBpgDi25Rd)$iH~MTmV>P+CWk&54)+x1DuZ z1i{|K2(#lwkU++715=5~g}Y^H-_;>9c#G{VYsL+%w4NHlJo5UAH@Lv@C zJqj%;GnPK%agt5A=nz?qF@QS6L#WLYGk5CB04B3+EmRs$UnoeOqv!*csWQ4gj{2*< zw(5_jzTXnHYub2E9x5V6fc#q;z-xW_ajOCQ6uLni!*2| z1+`ET<7?ipUoz5fY04c+3b~eMwD{7t?aK0`U(+$EH1JAKVtt_zf7{M5<4x4Hil^Mby-;R@S%I>xvD#Fu1F+N^a}Ky$pa^41pv zXx7D>Ru$05)%E7-Q7s6&#LvcV0pNkT?c90X*hXJ{{TlPRN88@Y>`vm23i*e$l1`j;8+PwH?r;qaobF_-KM?G` ze7h;-hhyt@(?7;6RJ;G0D@L)O*0OyVHii}T9l#$dmfTCs_$B&l?w>}4GCWPt&x$_< zXoX>&?zkN36Z2U3Zlrc=mreG$`eDabP&C^EdSa*_0#aKFexo}sE>su)-?`@8j50S~ zfu;v65auUf^eRt+_kx`2Xet3JPyFifk_76IWm%V!MsjPcD_i9$(2mn>i$ZN|D!ZCJ z$+0N{6a-~h17s@?6B`&+f3|!;T9c}1Zl3_G=KW9a8gj;VcO|eMjPPH5&?!k1fORz* zR1^6SL&9$!I9K+EB`+rUwk&@*1kni<+l}*on7ASRnM#rgGRXNDtW48gkQ5omZ3Y7~ zs#c06xR3?p)cMdE4kR&PZmKR&j;%2lg9bQ+b8eC;Kbn$GW~pp>MT)_A&A|!T;dB{v6a__`s-9v_STgP9y$OY^ zpCM%h3&8g_M9QR(x>@|fn!K(`x3U}kowmv3;#}l455T)e zsty(R9L%^3Xm>5K2+~YqAI8L|kX{WJbTidd{=0wt(^)0YRJJW;u0mIQz{da&$r056 zNo15RhSf;xqF%muPh-hmiGX4cr%gmoF7Ho69xd{?=~BW6m6{^Jn;-jxmxNE?ONO-8 z58g)B(N?G6HAzpIKcI1Xc@36$oZP6&!{CN=d(>Wp`4ngGZ{jdgC_>{}*~0aH8H|U8saWDBgZU!4tX@p4p!B>+lJ`XQP8ia%Wu-LFX8-{RpbugL4*j~hA*CLMFkGR%mC z4Xl7&{>3;cKzs1v&EfckQOy@%H$!4iU{5$T4bcx)i#S9sHpfx3`k6){m$L2&c;v5W zXqJX+C6}PT*AB7VGs5kJ2vbvVxF_y?r=WX#u? zDl}Bl%QEX)n$aLEWmKBWPK~}D=_X|}r+(ZPsvs9TfPGbUhWp|EWAItsL%~-C`z(S& z;OO6o{ul0#LeM(RRS=$Piul8|=e45-AKMC0UUT)Yw2>b8mGbyEa^Wb2z!$OqW+gf= zzZ-=u0QR`Gj|(XJ16`pX7h@*x7wC4~H447ad%8+4CovC3#+ByK?L{4r%T8esK6PPS~!$C3El_qT4_D1oPu~VF4(axPi!Nqe3My)vuBh8G{m_O2m2J4oJsR7Kv_vg-f$*MUIzqCAhf!Aenc-D7D zQ7s078R(ybAHEU9R!OaiTr$#znQz#*a{c1v=#T) z1~K2F%}yqE+lgd7v?SLTppHQ^r>b`H|bFPe+ z$h>`!b`;j$=G-|CW7-P3Q>=y6I;z66a*FzymyR$9J?m$_qy;oty0LSiZqb~v48%q5 zo3FPWqgvQx*a2dzr^QAerCln|hXj8~!dvCfFLhZulJ${*BbQwGrh%-YRCP$w!wQeO zvAh@P>)NIWr{TDDwg4vP>>W6r^+?x-nj%e6Q*|~A+@2HnNjoluy60?+ZwPg5*vThN zj5)eXG$l=pI7o&|EjT%GE=~Pf8;sA4)P(Hq{}XuwOTuKnveg+Nxs-#Ft5w{H^u9p{ z#ufX>Arv>L)QP2lo}FZlpx(MU`*^1did6m_^?D5!e@ByY4RCZqOagOWNocCX$fe2k zhrLh;ZdnB*ZO4cYSxp)Fd^H+LYA47km$A&_W#Yq?^_6za=Gmm|>{Nb*S&8 zt89HF^YTU=V(&lfnu5o8Wu;l107@nP21t@GF$F0F#rWXrTd}#_j?ri_{6^9*S-^)= zoH|B@AD%blPjU7x^_7j-eGUlBFV^Gc zY-4&nc_2<=Vb4Fm=*}0!qpxep({@Y_KiB@e-t)4LdG`}8QO>*4%MQTIalp8`G|Rn5 z0?u$H#Yx`$4${1;jQiCQ&bj6=(E-r#c~>L_X$K9@a_eZW;%qEx7JgZMe2)<|dNxA( zwpCj4l_8qp)tpS$pcT`N()5RcHzM{%)EarHBa z0MN#bzNlrj+#~HRf*}4LbbqTQWO8zXnJ{+_sl zLtIOjJ(1f&APJjSVg(bx2cQR7WdP{#9<0?Eo<8;xeyt{5hPTYg@V$tcJ}FR0frJ&1 z^b2Sl5{zDMs5h@Nw^H_3xs${dnPyb}uWLPjCt_4Iga%4<%cx)qsOf29oY7;_}|IELLJ{$2#dtkdqPTIQ#;|&4N|IC5+qBj38S02Xjz-lBkmY&F*rIlPN zEa(ONUwaPVK^Kw8vT|KX7EDg&=gA7|SI7I0WxCTmNrS)!hg6&QCjVj91t4BJuZ?rw zU;o^FOAh~E^3P6aGjTICOClLO4LDBuozIp5n!|0W4PVpbv09H8nY0hFvvQ^>b95eZ zkn4#dPCk=&t_$^nO86*;?BB5@`=p*VzS z_BEA^qJKq0|Lf5U<+0C=_%v&(LaqX^0h&k%#hBN8`6KfmZ>{2Mlv=VBL!VD5%lIF` zbDUkhn67%sNr^G>eEElOuKKUq{&BhwHi46t0b_Q!7EM7*k;9XW1_x(3AS_RAw%EL_ zl<)uAImYKi6mToGE8UaP@F*3k(=g9;Z7L(vVGR2ZhTaB}qIpjD&v`(q3oM^8Hd`ouQ%bA*h((~NhPP7 z*3nidouvL_4Vj3DJ;UZHJz+VrY^AHukgDFczQ!}6GatxPVIax!wnmm^aImWA3~U5z zz8lr)eA>)WF_b_x)Jas4tCvJJGv+zWk2!*(_PiCD;jw{~5x#oy~HPyI_}{cMB@7h@Uxs+sR$q;HmI*QOOw{u?A)F!7#y zab?=;c{(g6$KmbLIG_)i1f{P<*eb8*kF7kadr?3d>b&*UhJdoD{e=MixzY5bD`d zx@U&JLn>DkXxvd$>Z5fiv;x3?g4%bP`H?K@dUH}pppP$< zXcstJYgB@IDNnwo^FSkHmU{nQ*@oU!`I3J6N0w5Ut|-6b4038?>6#6SH?R4)Yoo*^;a>Mrbf|Fg@jjga6&zugZ)amHYxVR=?VV)A zuJioq!c7A!sew5=XMRGf4FUbP+Al-I^*^17e|(e|-$X%Y9l(#8&=?#@7GYIRkj>4r z!>c0;=hh@Cb+8@f1x7Ic{^*XauB?W(%9Ts3Y-Aka|CHV&qA`?3Qz0p>k;$uZAxLP6 z{sg?rTS4{dpjI{R$j3iI5-<0!FnxN*6NwMu){1P5q?wYRBHqlv<8r=&=#lvB*`2`6JMK%2 z2i6$~5j!eAYTopEk~qGSez@G;h})c|=vJjFDTYFS=OoBvbnx((vP<&5UQ*}u7z3}_ zZ_h&dv4QLg`+aLCpwc&#Z0H#BcfVoIS7_>Y zjKGXV?lC59vn!;w@H*|3Xk95R1Vg=q#oi;^9B9lr;il=_9}NSRONvn8toljdJE=Cq z>cwQtJJJ8&izL%LyfZL{ha&(Z{dZ?d&BDRS-GW8JNYaDV%G9-@Q}4{F*@f<2`KKMc6mf<3`*wJvw2x?kE}uA@c$?`}}6GGVnNqP%;}yf5Dr19v8jNbdLsV~k*r zt4^7Eb znCSDv(Ny=t-&N7q1GdZ7z^C(aC)oAo-c%sj{nYDqwGr%i6LvX8_&ee)7X@tR$Vv3M z7ji$sw|T)9@G{Z;y0t0_x$72re#{7bn)M2N4D53JGu8DxU}Y3`-5!5F)y=c@z5C^H zHSqCqRW;yt|4almrwA+OdHYCoI0E*>06SaU47eKM3w)jR+Jw9eVdePWs={tZy8W+C za$x7{46wa70L5n_4_7&`qc~p_2ZyfL9R}ESw}=h;{Yc=$&A#Zvo+|9(ds8s%=DR4` zR`J3Rrh1N(aZW~qsz| zFrF3jb+Pzyxj1L!IA`E~k1z1yIivgeV)Knpr!EIkF`9P1$L*!tE2r0I(XNNF#lVNt z#lZWW*@ZlZ=Zz+#*L8xK!@hmk>%b!Hvf-xt;iKqH<%!l#9PAtp0U7w}=CHF*F;l!H zy2$%-81lk>*AplD+LyJv`3(Cl-y=8kum5>pu(bQ}k4U3v$M@NA!Fr{A(d8&$dR|A5g9zo5b#^}9IM_w_Uc|+H zyNPrkfe~BpQE~UP>3jAB!xNa~FNlQ!fE@O;9%t87h^OAou9BdkJd7H7^||FTtX6B+ zh6V<0rJ@^-E15tiaxnciNkA#0^)PLJC9~m>@5bArp7FI~D8>GEAajs6{*L%(Xs1AB zkC;pbGh`W#Rxi~)%V8t3DT;S1FoG9lcb?v0^=IY8+DYdGyw6`6CN(q3MA9576sO%r z$5O)a#~Meh%N2@;sMG+grz^?`UVJY8CeUtYagpzG{A~7z-A3fPzy(b=?GO~7%9}o& z%`vxzRXq}{m5RSLqOW;n5LK*UYc4O7)mcQ&72(Weg_z5X<-gyA9T39TH5**$8-1wG zcr%3gDXVMue$=Q%)P5PZ!}Qz`p{#D)c(@K~f$SSPYz*g;(Lh>V6NQ}28`2u;D|_IH z94M(2g-15{E*r7_7C;xSFk0cS6&{TSERlO5b8 zkyp5}db$p@Ld$RuzVEr8l;69b`bSc; zIX5NK<`4F}i*@i-g`ZPa#ca6dZuGmZUF_LGO3+Vd1c~k3lqbn$xDp`TACV)fzdU;D zrFk0?Wn?E~C)6`zk}|i!DbMCkGshc*o6T|wOn>-LUjO`yBE{2~NtZ8v2kpE`g~fyG5>p4QW+e_&Dt&TF|4C`A~Dgr5!relH+%Wm$oF~Q zwP1JAntYchedOR)Y|vc`o$>v)u9Bp6Kgqan|wPwMQq3Kx9TOZ{(n#my~7d*tTNo*1W}JGb_O^QC-%{ z>ND}>$8zcM6gV^&6%F_d3Kt%15_GEiG01S07twg-Sk$pWm)3H(%(G6hEViO!W`pOl z-*P|A<#h|zQnxSj&B9JFv+P3Z0nc2{^+dF%eRgBUF6wkvUhx#9=61f=ZEIm4t+LM} zHMy~lXX?j7VI$o>*Y&jzeR$!NhfAu6Xk8m?fM`2@d)4XgH~ch%)4p%jtY$49L}zwS zPG6RS>Sy zD=Cvny%2T%7&;h~O1IIbAMa6fRv=TDmpM1J(cO&wLkkZ|I{1+1o2_=?yf^ z_15|5{uxKNqNb9HFzO#C%X&Rp~=p4s>wIv}t%U4428DcfI5$?EOQ#?$bNjLpPnus0F|>NWZEBi( z`f#|Gj`{C2;rqmHPs7+mpBrIwFv+9p`~7_Do4w*~^5VI}(NDhD0wnya_Kty=e-6t3 z7D`VF&~B2o=o!^Vm0O8TcDML<()=5%GrB@2$bNv_4(xZsMy%FTp-*23#VIjz7NV|u zIzAefjjRW}u03S+{!n;`Sf%S747l!-23)uLC%0Fhomej;-wSx8D%gBzt!weug8ZI8 zzs?xnYIk{zm23-K>}ns|;@-?#(|t7Yhcv^U_q!in*aFJ<3Ik%zz8I)y>+N4U9CiI8 zQCq)v8Hx2va9PwXK)P9$u^MX;g@y4_6qHPMBENununLRX)=CcS1FpbF^b4E>SrN}* zkorNG{a7VRFq5TokO6W7g+~Y5>%FAt?Z7w%YqtJMYWHgd8?3fk*5BI`pXlALLD6)f zgvXQwVY7Suk#?kRny`8M(5LGn+QPahe0Xwc&zZmagW5{uX7Xbb*5C!m#7+70@fAH< z<&+s@)U(_QJi{Yt`bBuWBZy;!jpFkAiB^BnA9HPNBSzQ9P+&IOa;>dda?!{`Oiy@W zyY~3=@0H=9S_~#oAdxQ&I(-A(cW8hJoP9b&&snAKEHJY;TWOIt?;;wDcC6?R}##orx z&_oUIUPTzb_JPmL3GPkuKwLBa*S7Iz90}mEtZb!rPG^Y{tSdh;BzxF0zqhRqPdD#r zXr-m^xf7{6!%X<@Aqf}~Ikhi1v76qmf#WjBL9D_S((yYolQ!!pB=UA&33b&M&y&_a znE_joi`*)^E24v2KJHhwC*4yzMM6oc<9DO|yk@sTEOoJcBphXH3C9C=0>S)G`ALQC zkkYwj>x8_qsMJ&o>&r^KcYH)U4zA|VDHdL)R6jnXMN4q}AKf@NoU#EH()jJA0%9%3 zJMLgGT&VYkaPj58nx7K>Az7CS6OL;XykH2nO0BS-<96^8@jiU(vYjP4E`25QUUngq zjozFvNoB}KWe+h6F8#qvzKc!_3GSn-t=r5DWe$DmJi_-qUpL)Ydcb_bkni1PI=kOk zzV{rr$vQNv`k4>C|3EAJ;~E0An33f*>yyPIfmckY;k{y^TW7>S4D~}G)rp+@e%w1JujkDVt8m8+fyU3m%Bp%H7hqv2bcInwE)Mu#Fn}Qx2Z3A=sa=X zJ1d}U5ZcP|wB9-Db$T-LUj0JzTJ?Zxl%gMkamw9UD6F8C-z$;HOd_8LN653k2KA;; zxFe1js_aM*yDk&%9n4g6Hl@oVp^eqzTTDAIFOS!#QRv0`_^D5mxRJ$Cl4Mug<5m3J zuvqdeL$9{c2rW_S3A?M?_k7{mlR9iqsoV0W(J#rPB$z^Hh zxqtd&33~aTD)oqUVdR^?9KRkenH!Bz1F9wjXLYM@BfJntk9c-9un&udrmF9gA;rGC zo4SPpr1~Rk+&Ay`RJGx4KL#- zTJA?v>lFG}a*iDGoo(#XC!ZGpv6J2Bw$^nvin;4+X7e9K-h7tmL<;Iu2H@c$4@gdt zB~8r`#F|Y81NC>?EqK^;&EKF$#5T~Rv0H^{)bY0BvzzLe3^*WrnTe|5L{Rlw0DH6y zvGw4zJY(CAE$f2ZUP+4r-N`LpLTj1kfbs%ik=x`#HO1_!AvI*EC z%tq_?TlDGqY7_RH`l1F%rDV)^B4^E5$%(stejhO)a}0T=fSunNz3$$1KO9r=w6TV3 zs|nRAy*JdDVHh42O_@C$3wSp1Jf(g%piF}|x~?{lLkKEBT6k>j6kv}vJL zaG$L371jC(JAc^s&Et$~*;{#cc(s<>F|Ox?H5<3l9MOsq@zLP8F_U@vcW_0m1Ba!I zoXzfhmLryI^p-xFBwG!iu^lLSRkuUrl^8#GVU}?IBD{_vHLJ+_Nj#v1%42auH#~Pt zRB# zjo)3tZdq#u^-MT?#KK_*EkX=SPoYM3wd%7j|4zFSZUsHF=BX~7X(OF8+kC3jn#nVL zo~h_qjPT9L<>PkbNR*MLDz3econUI;OdQ+}RY+PtgYVd;Ey|Y}JX+M7R-qvO$F3ir z2x!qUBXn)u7^bBYW}GJtsWMW(AO4ulM-)CD%HX0%HWF}a+xUBuH_4b%J}iRd&$yRS zJU^K>bYD7sxLhe<;&leZO17EklCj<=U$sDzCRAROUfR{jtk~sC1Efo>QLVFZ<(<3} z^89y7w(|66^QtUb#+Cjz--(EQ^XzQKw(5B9rf(vH6x*n~cDB04F(hj(i@^Q6>Vp)c z!Yqx#iOi*J*Hagr@Ksj3`ajm}qK_*CW~{TuBZ!-=LXegAT~{y1d3^VS!Nei!p~bfD z*c#l;<)@UEC-#&Oi~b7bb_G5o6WiQ5$SBRd)UnKpN85&tA-!y$zlk|tJ+S9O zv+qkDyD!yj1)Y-0_LJD-fqvv+N+8SgVrsTyF^_sG1Q(WfC+DuWoLiH9+6bfjLj9;D zNZ-tvap-^i`&5t9PWPW9sAQ}jcqDE! zewO+d41a(iUgx2YyH$~2DTEDtEHx)-Bf#ELMG^JVP`PPibCTTWg|p@jYf(@odHHSk zqC#?Zs#xxpj-3}EU=aKC+Ta8DaxXof9qbsILS{}W?pNMM)Ga&dgNk0Cc0JU<>b2Ro zsP>MO&y!b>dB*Q^(*>E<$VTBX`(3uqiAv5+>9t@@k$Z#J29e;E_#vBYp`}W$>#gLv z$AMXjzMqaf-lXY{uN+rH;)no)FX2&y$v^l{Mx*W%CVDpTmLrB!9n~&hXUzqcEQyo|JQPE0dccdn(rW#CkI1XQWLvu~i z)yY<0b0;}Wj>_EeJ99B}{~h#iBfj`#YbAJuiZN*=Cpx`Ba%a@|)Ms7eu2^KtE_5hU zsxZmNs-w}>6ZvUMNk4gVcBnh>h(9KkCeSH)+s&+L>WJUMkD{a9>wcxW_48@hXSUYH zz$0zHp^auWuLmjn+pZ2a*kt+qXSbF0X4kEt1P8A&f{Sf9+(X9MRW{eN-dG;B%~F_6nfH}5bm;tOZ2zPv>W|21-cD1inY8!oYx3WpD! zohRaE{N_8_fwo!*w-)?hXAr(|ktE5Y^p=Tx28(z4ksbbmq8-Wcj#J#~C|TLWH=(?P zkoDV=q9K=zyJ)N{Zrw99)G}3sZ=Ewy?XjyHsFx1)qN`Tbh+1KmgZF36&c%YBr(IG{ z664FKWv9_hwyom_`tk!CM3zRETGl-rxSQ*xGlp6t>qA{7p6SaJ3IQAO!($JHAz$MC zM-Q6Vhtm103%Xa(3&uGx3NuMrj=He9xoACfR6Gih4O*=@yn1|ooOR*&{mI6>X(Kd8v`vn#qO7nE$0m~)GFdm<|sKK#sIW#~g}h>^NcvK1OWb zkN%dG8wm1Hn9)d_*g!cumFg_t7K+Pku_`Y5^7BfG>sI8tnb5%Urp(~U+sx`Bdp*yP zcYn0>&(!H^)-eC*J_g?=e{yKa(TG=>P*Xd+VeuaBx>1vN-+4dDse@(Kx3)?V@E`9| z*ev_f8S7>z=1pMAkEKCR!W-7?(NoPrlJz6gDP5Y=F09AG@@$tf46AB0=f#a%#hS{A z+W5N8)Ag~gb*~#SEjB1Rp8|l51lH-*F1NDp#t0KmJJ(pEXY-;fhVr%R45p!_{6Ci7 zI;^ecc^@tm37+8IB)Cg)YY0w};Khr(7AV?62oQo(q-Y85?pCx=q{ZFc3X~!(mFMO2 z{k?zW+TD9*cJ|ujHv>V#nolVO~C?-Amu9P5uox*?$tO{e(~4ODjF`DK)G*{oT{LK4ng4 zFL5fFjSnkKdH1u%Wq8RQvY8C=LabLhoGNdvuh0ST&upboq0a&)Vuw$(CUQPsyAjp59M<$^Q4@y;qm z>(BSAYL^f+45iVf@(lvH+4*R+dMp|4LW7}|MCu;|DOZ5;B@=U`r)% zbI;|Fj3edp`4@aBfZpm9XdArpVP%8BT^+S^2ewttni)C3n#jPr6B^#C>40Tl3&xrq z_257WLMvi{DRk5R#n}izzricASRC8VGm2N{_TD_3R3Jb?atwHJV=#0wG)t z9_RLH0gEmzFm=CGpiBi?%_ReWgz|vU?t$i!{IU-1+O#ug>Ia#sVONdCwR-AASR-t3 zva_qFn}BNzy=go;ctaHthL)4~pd5CrK01B%+FmH6wCJqsa07Xk+m5$Y?g7gMvgA*C&f2-`ezA-r20x&s@y!dYwdvT8W@Bp zj>*fCg=(@`&S$X`TC1fxWO1v?AC<8IBdI`SlIe+~oc5{(BDW2Yw@*Px%NCY?rCLZ<_Jr}PlR|Abd+>)U zj?1mlG`@^I`yH>40E)Z6rfOgSUb*ZwtvU40Mu99yRUjswP5GYzeNWUcRxhO)_orX0 z=^EQK$|Q`%-syaAeAeLzM+H}1pn*ml%Jy&d@!Gbi`oluLL{zgS^88 zZIxQNLgK3`1@CrC37Gr@NIBU3E>Ud~$3bO8gbdwD{Fz;m5I-8_sg19+ zQUj)mVtlh$!=q=y2_>DACTY#u2PvO9sEO|2b3)f%Fx?zj5vPNu^?cPxO-Fq#KGW~i z!=LKe;^LQj67FUss0zpx-7>F1Gd>xc2Uw|Dzn~J*MqGlQT@La0eeuAziTASey@S; zsx1#7(e=ukQuF(qIc@DJ^#>qUxhR@lvL~V3YKl3W1K7 zd1OQ>4gLJqs2Q*R+>Pv?5fANF8<#R|)dgG?{J5<`{hgby;fs(N#guhQq$jmL>Ygis z7>PH%p^A1LV>wp*(kS>ENFy#TsF+K$ax`O2ZFZkH?6dqudRNqRo<8Y&30v}j4fN9K z?%n6+fi0BO+Re?=hxY=2l$vJO*|C0m`jnnC4_>VZ{1k)J*Frh8wZfU53qU`^|6^hoxt#K72E4&DBO*}Mdr4lhEs(9MEpx+1ou0lUh9Q(0*WcSTD5j{QOfokepr^lL3V^rrNJi3x! zW(WZ+jLATM!NSnzYjTdZ%mBCP@*;ltBGm)4#d9x)XksAfKR-_rRBb80!dE)KC=$j22I z%$Oi!Mx2Rth1Q)xI7Dh+#;M<4q?v#rBEf^qyDPP$4Ke@x(MP-s3mxwF8^FK_XLtOr znPf*ngjfBK&KQm0$rO+@i_#I`!wpPx@fYyb{`)54c+oPnY4E!neRlM8h6KAjK!aWS z2;N4nmmntst(yN+WGet8am4eCOhkwCphn$0(+#lSC*)hW1*UG*bhsg78W38F*`>tM z6cstHxs)z;Iy^2WNQIv#wX(hB9j9+mkUSz-MD0YIl$$EV>oXo}PRwa*61ZPT2kmXs zbchG?PX#jgJrl12Cg$CnN8GI4HA9*F%w7f>Latw#f3c7zk%!o3N-`wPN@*o!fqlIt zdrbbJMV_V(6U1SPgK%+EW-G|qv-m_uINcol6ws@=jAJA`OsPp8l`E!KEP7vNl{-_` zK+kV0z#<*x9`=fI5C+frXyw-Du5k-|VR(Af_>4J9JTR4lG7zO+ely#d4=o$5reB>g zH*&y$X^j>Y@zbS<1ov5Jh=@Ga9Z*+UV#b%8aMhObYZ){;NsB>S{NNy$?!VzrMlWOr(FVN(`+4V2@ zid*6N0RA2YSD`=SMYXm=;-?5PdV2;cXr<*Wv+^AkkCxxmM6QGc*Gbg(__;Y3wd<-; zSra{`mOIYMt2ta(<)yGpLik(;yESzbm!v?!io_eZ{c+Ae!NGMJ2d6aG@(R9NZ z@?M=&wOZp2IUu4SZd?COa{WTc@9f~$Y+Ch_xYxFd?v+_GcBE{7jW#-i!T;-VWd(A+ zCRm3pHw6WI`MQVrU830RBZKacRy{3oDkSv3^|vg*N~sip#!}c2tBgFPP~}c9pCm;hEp`8{dyX8ZiuCjYGKKgRAYXS&9b0rAtv{n$Cx=KdvrUqUse8YYW% zO9TG_DlJ0q!PnMhgY%SX{xT+Wj!0u=4>P~8wztV6x{7Q}CiqxSP<#3^E+ zxI5s=Yhb>z9AVTz=lK(D?|AK@{-IL|aHIR7*1K}YRs+DF>!qxNv)-A$CZdqgg_p zAr%C9vItrvrXy8eS{#b@QseEwFs^-lY#;IqtZ^%+|#;VHHfgc zUL;t)b7>bQO7lNDF5B6!4smnePx6L;R5mUWh1!1nhGcH{To?w}KK^}RA}IBx?1C2H z>jPJV*Q}|&XALE0A34mWV&BlSYre(K!*o8{5~ zfX=iHjDgG-V~6|HPeimX)mM*AJOO_7iFk#)%PJsYsY%R>6Q7lb`}Ox@StxrxCv-QU zo{ku|#PJU~FwVgR4Rm8?k3F$nWgK08;j(^78?GxrKM(#iqm5^xpIkIm>q{zz+i^TvX`J!k!PRF9k1HK_Tq z4OyVI5edBPNQm+q)0NkI?;x+38dj+LLCQZOXqTJ`=Z)RAHuk2%xt=FGXEbd4G<_<= z3GlK2{#=%U>bFzk77@XZ&6$D&N(uaqb@|s-fSgrw5SJfu;!fkbU~wmfCd#N{2z^N(S8uCpW=A8aD{59ARQ8!6DW`WyZh+fBE)K0-&?Aaha^^ zzNyI0d~9Uf5KF%BiF4#bQX+^!NsXEKn^rLghYcC7yHcuPU`y){W^^N^W>lZef{EIS*^Cly^XZ)1e22SBn}c?xo2V6c`VUP!#a=ZxgUe99$uj(+ z)(gYAsVxchTwZ||z&URh`0rZcr<9iG==52^c{sfI^`Q)kWUx6N>+_hmXgjK;nbcxd zqw>tS+$YaNL_T5~Jmzf*rD!89h&M=Cq%lz?R`h$=v|#rYO^uY$vgEF^Gt(zJ|Krk)>-*a@D*Fp;ueNR;n z)9u$a=BZC&ELREwbgk*H6wM*mi2xP}$LM=OL%5)n!Q98Ze?6jjPDUFKSiB>TfxS!aYZK! z)+O`V=PJIGwK&J+#WtRYD_0h7^1@4^u!d?H^-xYhfjKD`_wkQc_MJDoJpSBud_qvZ z8~83kN$7e2kki8m7~GVXABOcNz7$l-URH1*ykjAI97o}%1fD)*sdx*xaixnVOD!qY zj!+c_t4kUa0aLJu`h$|X>v`AtQ+25 zzB&=BP=~52qhWO8Mo8FSk`3ZJs)WB>sm|MgxC%5FJd$XxAy&D1S!p@{5r2HOahmJX z`>})oO@UTR_l&wIdYV;?_KbTKhFY4l$KkmQWUF4O9eqo(_xu#7VG~z^SJnI)AnkhQ zT7RI!M4Q-VOI!X#GH_Z2rNHnOp=6*t><_|{n8%bTq1jeH&KF?PKL

Gnv}oH@f(P zn%f%r4IfK3;mp?oWl`Lak;s@cZ5B%Dk0HYM#yhQpP8-xIujYB!x%v+HKC{3#-u)o` z+_5H$nx~Yk(>nVxR-;U#XnU)+3DNz2(cVyRuVS8UAxIXjwr`2mZY~>9tj(xUcXi+cPS;qg9dxx$2<)qVc^ql z0|^j+mJ@>$#%P3~r@@Y$f%x$n1RE3sZ!ad zPV?`40vby4-gU)0%jh_p0~?9*kYmO)e#_0RtKyQRLS~20QRktqakg#5^I7F9buMPk z5h})ykxoRK(kE#thVBa_*tx9oC@r0Y@^z?+$(y^h<>KcR;kg2vV7X%0oo#y^ac6Wp zzs9&xhRb4f_{#HMin#%j98Wf&py5%WHvg>SocR)+?f06yfN2!DV!{i-->Jr*hu>9> z=jHdeynSM0(#YToS-{!P+QhLApD1a;&{J6cY3*z7vGa3H?+%Xzqf2|o|^24G|oXlRMB=$ zFXu7}lJ~*MOZge!NTP(KS)+-*>EHsJafnUbbakBhl+|jctrd9ZHZYt3jB5Q4vQEZdHCSRx3zvxY@92k z@$joinY;yFFkAr;2yUjt%Bb(fk#uD8{5W~vTsxJ3Xl9pKD5DPKE2V6+Eq>TXq?$8G z5sua||7`k&MRo4<$l#NQ!An5@lo154LlpJrNfcrY1#YjsZ(Ff^{EhCsHhl@@RLzB< z+-EDtyHsy1{p-r<{=uY*A4akdLN2$>44SA70*N+iCvaNyDe?NVr;OXJioH5$dn>0X z`vFu9vb2vrPpg{8b{1&57vAtUPZ#*13W|9Lci=;F_{3wuxC}G;xZQ(xDDs9MRFsjW zpNLZIsk+oA17JKN4WBbZuk5UTU}~byRttXP-`&NF3w&cX{66n0!GTPsp39`$j!sju z^BJjf<6Z?ifh9|mr1+!8=3cJGUA;IbFX?9QMZ220GbV56l5Fc0`Jrc$bE#*?^iR4Q zg_#^Isk0I=V*y}a=yS!=s{DFiPj9REWOtTB(UW5!O5N9k<9cjar$gRg8ha$CRDoYp zMgCIWDQhb})*tp`rjFwr@GH?c;)?@}gJKfr*f&iq6@gf&d4M&%O)?F1b&9zTxEUOZA%!HcO( zM8}z@9{L)Eu`(_gdg4<~n!R2onZJA!%Pq7XJ-pB3(8i{#TS`L>k7iKJzJA2LOY@Fs z`=cLD+&7{W2wqqKnS{h_64)X*?>dN@0QN{VrdaJcpU^_>Y*0URtNCeMG5Vi?vaEYh z&frcsNut59`#TmE;%HxqM?@M+HuhPr45@a)+-bDY!8^5;|JR3VuD=~k%e6|Ymn|>?H7e-FMANxaS?UBD`k;1d z%?{&Jhz8{r?Zl5XDK;yaZBj~H?p1D}&W*U+*f=#;yqBq@RlS( z$PzOUvM1UC_e^U=?q-bL7sSWhg^{JO4;bkHEmLXW5`WBui*I*1Ifi~3JcI%NTUSYb zxo*&aLA75f_AId1Vx}p%(r$)^xJe<-}K!v--}G*rdIyD3bPW4T$DO1OPTIuP;wDlwRS`-%f%PZRi^7kEu>3SiEVQ|raf zUP@KgydtS7G4-Mpx=wO%9R;Unly(YE&nrL7e1Wnktt@$2HaNX-`s*jo_H7JU4^}N> zwhi7TPcbVTDd)bI;7=YOtcVkSF`SGLaBGIg3P&sFbLG*UlU4G)2>QqA$czlS;ARf zGQpEpVQX3UE8Wiuj(ASgFf&-fG!$c4r@oK{D6!0((JqQNjT{o&Hbxv8YEJ^lvw*nQ zv*E}?c}+iWJZc8r^ZGo^oaAh=(1K2Wpic?=jo7I}s!^ul`EV!kp8B0i<} z!t?cU^a}MPIG+7(X(o1Dvmd_QT1Tz0c!Z8Rp6KtIcT7VWH_RZJ(P-UT)V zq%Q0!aB-<^y2)J~UNc_Yb>e*9x8mPb2Az%c|56A45x@)1RKw^nwa(j-Ijm(9J=2>u z_^INLN?uCoP2bYeYao3h+J=lAR45xX4wE>g(U&zSHySz>8-$DPouB%9uD?>>FBx>! zW*~%;{IJrKOn5wrkeX`S`i6@=cc?*xL6>h^Fti5DLchulw#0Y7@2dqJR+l=h80+zgc-Job^YS{ zYheE?%T8xwNJzn#6lxFuA`d-niV?EcXyJm|W;Vt=NX{n@l@m|f=uhc*e$x{zp?W#; zq+|xde*@aN)&QSQzCiM zFxI5G&gb=PJU=BlFfU8%X|42TuIl5;*q?mx?+i7F@l4*@m;+{GZbCuX3!k3inyufK zRr`b`R!g_th}QV$_V3#)cIjEaX0**>2i(wZvr=aBSptOW@za6AecQ;BWnUpYp6y@; zQg*o+1TdG!2(&auL8(3cD8bN_=dtaeH%?#V;gg}Z)2u{*7ryGu(l4vyeMS4Z6AJCZ zK`o@}mQtPFe6nyI4``nFqkS15tG~%tm>6Z)2=$EiP?@WH{g+<{|3aS&o*eTOc<0<- z4mXNteB+H_e~u=5U~e2dkxqa4lDbTXb7)B+pC~PqO!2cI)}FXU5u&oMh?j>VjL4>M zCQJP6gvV{SH78A}C+&Ef0sOBBiT{;Fjt^t|Orf$OVIdmW4x%o^ZFTmAP_f_oHb76D*@45u7vggXOq0qV#F=}?VA$&BFtE8nV5=<)1 zE@2AKonH6sq-$|eE(AQgh6r>MICFHTn;c*;dPN7~_J6OJ`k`@=swr{X2Pa#U)*R1v z1ZFJsq#*9kez}$GG{V-}J>Uvt(~14NgqB@OD10`e7SxS9-Lyi861LaoRHBrv*o4~y zJz5}bklW&F=)jIN@GA67>f_vPw6RBJSMyr)y*r;{On%3qy+J!fZuf}iy_~BZs~zyJ zc^?&6uObCOX7#aMs#xOKhOG>3_6xw(fa%{7dt&{@exbmM>m&FgyNP^X3elKre^LJhp4aW1XpOej*cD0IN{ z$z+M(k^6-ElR(2F3s@y$inH?a z{U#5$kp$BJB)*XL;i1tVFEa(z7x8SsDR=trH0_@hTk1Ivae~9iBxj4E*^qYO z25DiH>;U#@xsQoz`!J>SDdl^ka9Fm?er z?6fw@i|dfH0%lWY^FSo!s(K{kKU0q-`7wve5yDGQtEf){fZog$pj{mypftHL$L4;T z8aDRhN#pSb|2Za$tQCR*-!Fs>qKsjY+&`t%67Gdm*z~;Vq>dRAQJw;0LMLMFwrqF_ zR-lSwS2JKjt+TpCiX7;k9Pa+xGp@OI%{-3m6wuv~4tyeBP9(YgBR6tA{T;Lb&o%PW z=x<_~FLgWk)87E4WEDNG9_(I5BIBFT!aU%Xq!9`;V&goltdcyxL#up8t)ANrTuJYN zZcOLnPCF+@Ph9-uqPHG0$%IMN6q@&2uaM}(5W9s2X-SF=g6j8V&-`N3-h2zJ~z z@LhCq1@f`;BB}p}!Vg*RAvZZj;{WVVCW}rm(8B%6`G{4ln+rD|L+L+S^JbGLE&Vhz z7A$d4^Lv&{i5A5POd^?0)DPLhtB&bUMpTE4XlQ9ZPg->B*C>lu(hHs$1Zj);_c-UB zp{xMc9i8HN=O^mfr_oC)3H!J8z6WM(S^c-KR_ikK!c(TzF5z1|4Ue;Q@wRt)P#kp4}M)Bno_Hg(b+-)Tldg#9gO$i4!MVo@>xpmiP#;50I8zS0aJ+b)@lg7!O zO`%g?+4Yj&r(UhMJ+ZToikcIuW_cdqc8GBgUN3XMZRv4j_I}$&HM6g zY_=#Khp^`Bh}WAd%uJyR>lxo0O19+y`UNr{?!&oSZ>uMPb|8{bqn|8&g} zK%im%@Q@oXsP848lZ|H*39b6nbI&B?uUH`aliQ1S_5GjW%Km-hE9^23f8ujh{j;-3 zDTbUp>;&W`>C7$AGl@KgfsaS0b`_P`d1&!ev=1;le`9OA&d_2r&o!wD-%I}yLOGW~ zY@o0F?UTg)M^-Z=)TomrltqeCqd-f(^W~?5LTx zWHQ2X)LG|z{|58%6%l0hAMmTkZ>7-AgzUhO)eq6kcV%p4e<8<>HO^`}zm*zK{%1XN z)%=XzrY$SAd*e2y_`lX?Po(M2ojykvY~cR_>-}w)7YU9|^=b(R<}*=6*`s+P?v~B| z$)2rB37wi>Tb&sxi%zUC@Nfaoaf4&j^RlxX$S{AiYz+nT85xww{ojlemsn*Cwcsr> zDB=LVY?4E|`2|>91!;mwkHtt)&B;Z+k(bcA9N^Vb7xFyYZv5%qA9ef0pcQX2Ot#iy zGqSOH>?FzUBnAsImc5eKBjnw0hB4Od1Ah;Y;O`o|%FVn!Bv6?=jgi*=O7V!7!#O5> zv&H{~Wm!jGk0wY-w5A+U_&S}LwQ?quVG+p|gbMsx;glJpMN><#$0bHboK7Z|MzWz3 z{DiOtKUWj4UA=<3RY`U8MFLt<)2G?LE*^#UNvTnx4Yutx{GvT5ymzVEIu^<^c_`k%b!L zmsE$yMr;(wLGF#mb&ia2DFZ3}>3zoQ21rs0#rDy7qThslU89xuFN+;xj&3@x+EmGy zVOcZg%#_?UvqAHkLT`DfFA(_%M{ZXY{LL}&v~aTcvouC}{AQlNrm|5OI}BXY@%2XV znh5>9@h3Ok%4ge^*{bNfv*?w>iVXY$T8{;Y`U~U?@PJ%GaK7)gKvD1F<9^xAw4vta zu~QHNL|W2mZJG3FDnI+*Xwd^_Etw`&B~#jyQ7RlmNl|NDS6AWXf~8K!2JyS)ZgVxc z>O>&TF!$|D5g6l$Q>~*6^B?+AG@vg++W*psi|@p-c(-k(OU{Mq&u;q{2^P9a`5I^4 z@wk_EybgUiGZ&g?E_|y-Y6MDyi>AI1YW8s-(_RUn6N z8*kv`f<93@R}v!7=6v838RiXhZyh9idZ`44P%EhS_P>g*t>}mc6 zjI!KCoftpyDp=7W@~Xk8`6>Qa`rsOT)Q(uqZ*h2F-D!{&S)~(zH?vg4m^k4PN*&*U zP|jcKjwDwqfPtpbNt2G?d_vt$*++>{F0eeFRBh=!o_M+&>`!-)Gf4G;%(Jj>HG6?9 zlcplEuwJ~UQmI`|la#|;mA4#Ft%cxaqok?hM+|ZL&diUnn3uA)z~8Kt4~F9@e?=H4 z0C3)Ji0-KZ+uw(#>+8t*PS$L=g2l2wmp1>FjY2((C4X)ZDTDHjT_bi)c3kP4NHGDB z{;)$e_BMBehTE@gYojg^V$?`%aD3sCJc;ZUwZ^WmU04hCUB;NT7oenU5UT`J$x;U& zq)8e|aDOmQFZ>f_1AI$l_=7vAJ_*?WO?(p@9PQnh_?+NC$l{sQzEB$RA$w_jFv`Kg zPxvj)*qC)-QRYt)*|W5dp_V^M5XS#-hjbl^s`IZ*s-RkRT!%|16g)$JqC`nef01;& z`a|oh6X>T^)_s`?>*sr`_!IONXJ#cS{Qbw3+4{j_BieuP>Ij2>1A~-9);PL>d~YG< zX$GC5aLjId*~@>phUp)@qf(^qv@?``jb}!Ed}OpQwEe)27XEtrQ-Yr9&ng=E2D6_e z{>_f|y`vn;;`>jj<39z-C<7;79!nZZjw(!r^6(5ivu#}A33Ol7Dc|p{NK9y-Q%2x) z@ZhmH!z)yF{G9c8sjs*Ibf{g%1AGWZ<0HPM5M|oP{!OFNI-gn-C~_|QJq1#z=x4?CcAIU9u+CJg#kJ2l8c62~vM4c*$pm^N8evHA9zf7?)&T{`>dP_}& zAZ`Gcd)&&ZhJ0?^yX2^#U24kUyV-3vCt~nkb}soQ%T)@NdX6xT@X--!c)YVn-O+<0 zR2Sd{NdhsVA0xXL;rf?lJ&35`l$9IdOsxki@_(A==+TE!mJ{#v-;5OXIztVt#VX1c9|+qy@Dnxvq*-r zr8WCV#~0I8o~o&&*!;qUPBQ!YzUXaleW*+e4PN;>Oi$of4qmC~5V{Y+TT z57iq97kjmKPX7O^UO~-2|5lD1iLgbO`);yXi(?d1<&EREbEZslqk&i1*tpy6797`Deq zfnP{}s<71bMjH!)N-9j&OpxxUoVS5s1A|p&iFGNHT7!g!H5(A*l4Eu{nv7|H`*Yk+ zC0#_w(@R(nP{Q~XjqK!XH|37n?A`{jUgmp{|F`h(c4$c~DqrZu+h7>uS&eeC*?Yh{Se_sg1;r!h9>PD?q+n^2Ev z+HDMI9T!dm!0fXfX0dob&i50yLab&C9#373X|a~Ee&8W`{KX+xnPjkV;kx~i<;SS_ zhRW?bukvCsv+qx{CGJL~R5_f~vZgyYkPBE=cE-?1+9r9gsn70e9Hnu~`8{1(-x$cx zo0bztI0YTM;9N~C;{ORb%C70rHT859eR1sKwvcRM$&#Uy*96P8`}w}V&K&icn#{b4 zJ|SR{EU^mXDR=T;O$)v$DX3oXFgTgdL^f(_ zPn`WG-_;Yn{Pb0V|8;f#V0ZODXHpMlV-IFirXBw66bgK8wOQ!knn=8N6-GNWeTQZv zsC1TO-hxG$Ku~y5S4kiCcogC!LkK?7_rHe+MOHoS+ zd~8Xkw%$=Q5B-*d0WjzFGPV8u1Im-1sjc|$*uh}yMYqQo%3b9lC=^33aDw@Q36LWd z5#+ymK_00FaULS^Kx8Lx76e9rVkD+M2?_c}l%3-nk;oFT!d+&gg$dRUN-Y;mlb`Aq z^!kHyb_wEyI&TW?jD>xC;0@`2hqrY196S4l$8SZ5Qu+54??ajDPIt6qLswt+7uxVA z`-=LYE-G8CuQy%FKwl_@5HPF>5G^q;YVFx|ccu@t*Z4s3OFOLPK=0ipD(s)3m}^h)>KV z=E&T?x89h6SWY;v(2Ts-X_T%+NqOi?S=yyd_rx-!n^lF4AKg(yyuIuft*<}Af*Zq3 z#+vl7gIGMMy zSWh#tXVXVj&Vs@0y981FiCH1`>P^j~%nfGoQBnh?2389slv%l(5;2*g*9VEp1vwAJ zCanaJ=GMExc9_e?{lrHGK&iD_tJ*<+#O~s4F8c7+Dx!9IS|Xw%W)O8 zZ)Qh5tYWX2sJ~7Xd7-7My_(ip!4kJ$rz(_gq|*k4mkb)Tvn-#4VMk*{D3rUsCBKd( zb($*d8an@RM+Vnf9|{k zmGfRY`?@Zz+TpOE&yWtP@V7cIV15I*j9h1Lnm+t}H6YQUxc_r$x|1jd1(kI5?FAcn zFm9Ud5uBe7b<3lLOqrNJ3g8Xw?6W7{s|yG=2LKGS87PB}W$a047`2Inqyu<}51T){ z)+hS<$Ltw+_c-yfk$hKN!Hw#LIY)O)P4?r;u(C#2X<6XXj3B}p<+wIQg!ii7D>)~b zZ4?@-4pI9o&a;pmY!nbjC_%d3N>a>94~xCRmDxK%$tkwixo1Bfv(mqj>n6($Ij1a8 zCvqT461l#&Q}<3XyrA~#>9FEv!naH57*77yn?~R;UZc6dBm?V?ZirtsUp?35ovezN zwT2aga75Lg8=$Yb(^UHYUO7imOCm8D(Vu!I;Y;D2x)+ZUm@XfSoli0;6Wa29SP4rH zSKr1H^Ss5Gc1h&2QI+dEHCGoy^xToj2VZPJ@|O@jubH9|lSOx)k!nU;*gMZn+$i$@ z6MLBljZ8h@zoU4qlrGi-7)m}yZ>+kofv%5wm{hPo7pFpGV`sX@itYlk56PeOwD}N6 z5zqa35WdA>4EAzbf0$oXt-Ji7mN>F;y^(}+eJj?-^ef6}_Aqk|gT_UjpxWGcQ7~>yQN@j$ zlmQUFh>nt^emGz7oF#f?jEbju$hN1TQSsLtG0l9WYr30f6ii_5TnuhM=Nwe<)bJ}1 zBY4Av5^sZpyY<~@%%JVb<8wcba0EaWHGeFbYcc?W4LfQbEKLW-1=&5bCykDi507pa zp9-fK`{(m*$X2!)`#BC%XH#YWClDX5UgW6*S%7FB4!Lwo*mCvSm&vhlGLNBy7R|vLy(MxOu9?e-W*WLe9|`9Vd1U*On&?7_Iu z{7t-f?3QbifQdZr8l_Css^Mal!oXo?{bSsh_f6X28Cz7{)HNUrApm}7FrEq35_NwR zJeaY+g^Z19E>=IRNDyQZSO8;d_kQy|4QJoSc!2}?9Q(iJO#W2-D`B8YrP}-h0UGgxZ7lu1VS0fSKoH34R z@vNSQ;w55{&tsbI@q->F7)YqdkS1$1@-v%)4-vL@>~>y5FMR7WuxgICh{M=6Q!Wq^ zyVV%Teb3zQkWw3(xP|K%yLB=pf+XQs_}{FIh9qnSdzRqukRWdPO$}${eivCGipLA+ z!~|jAYo6mRx}j3M8a+W4b@OOHH%BiWc=tl5G?BT+>!|iCqF$pI4u{K84{5T;g4IoK zpePTaT9xC|12e;;Ju^Aj*ReS6_I6rppvOLgOn{VST%8S&<=lYtWStJ<+^fXJWfM~U z_bKG_Sh%N zb!v46m}$8n1rNw8**$jUhR@sV6K{MdiT7gO0h3k(6;%JC*(jZTC<_b!dQ;j_n=DN{Lkm98b*N#T}vByWt-I~BB07YK|!x`bwgugc%f-fJ3k2jXLeW2AE)PbixSMNR5^Y^E0EkH6;n^_v+_zMXF>3Q;G zs7J{?Iu@b~Nb?(Jgj3DvbQH68u~`?JS4EF@ap3E}&R+;SZd2zfs|p0$(dwi`vbkk* ztw~Jql)tM<8UZwWW3E$T$_AFA43Y!q*N@3;b^4DBN-$u?ECVeAmufgkSwVcr>#{E6 z$ONh30$Z#qWp=warvH?g@n;NS_ZjZ;`BTE?pA&kD`kQQniv0?&a>>`7&b_Ot^YGH$U2hr5>3oPO%5Ti6N_cxT2iWz7G6OhqW5)IVw1s@^yLGKU zvcu~1QK!XeH-z!i|HBjEYBFMrZh0?j7iEa^j(`+!%OeEVAcmX82dnh3KNdrIq$+{C zUh7Z4vPY0#u4z-uO+a^?r7j|Z0vf5(1gqc!^YaxnB#wUB`V6tL2kTxPgRj9cO4Hpr zMn^&2&#l#b$>794jnqzWOm&Z{e&Cl781=k^8u&1x+C(Zn`!S)P)~ADfxS^nc0aZ*mOp#Xj8JmRw8Svcy-#ma=MZ7`L?+ zWt476ACw%m)5!w#l#Fr|vY51wh6FpC5K zpOzV3q0h7b8KVir{tteXM4IqmwgBx@qGPihuU=jQWYa$=)TlgiE_Tamp~YFd{U2U& z)c@uG^ngbii=u7<$lHL_|9LlMohl!2x-#RKnEXF+_^0g9|J7i_laD*x=_5(Oqx{EyT{RDdqTLDR?ZBrm}OEuQKErBIK^~H`>Ci$WK4+Js-=;7vecP{Sk9xS*Mg1dWg2uXI5-~YU4&z{}=FjM_h zKULLtF6S~c)m>d+cX>08Mk{W2IX*63zFK4#*KKF%szw8!J)zd6(jj8kD}m@iHuMli z#+UBMnN98OJ^RWhnYl2?xYn)y8F_|Wn_A+JYSci{{m>ywKc%AGH0gk&49diyH4%Jj zdU5~WFSfzd(D}i7(tnQ)O=0D?KaGuj0=zV)fv1L&SQi3#WAZ4XM$lQ@rssRpHSOTH zZU$0x=V*F|DbBYMzCt%JhpiL|7nsYxpU75utdS z<39>dB3;2eOg;`jEZe;u<|us}FrkX_)*jpPaPh3TW)~6$&IT;IW;^94;OL9CnVQFdtuMl9u;v>s*b=6|$zk#hH$}r5`JM@gbg0JwZL%>x9eYa{E|$vSzYozUCC#>{d*EsV_*P}AF9ER zkxA01fjJ$R)T|p{BsmI`doKB9#;mQ5) zU1#p#TB$aBs&UNBFD8Wyy+?Cat}Ak$BrC#Q0H$s_-JRdc5<6Ij;Rg!-Mx-NoJ4(& zV2L(6(z6si#*w4iAVhrW9#c8zb*wI7sGSbNIlGgd(R2j&He)b5e=mPes1-+>ogyeWi^s>QEzmfp@{;&I%lM#q4*b9aGRh7Xj8Euu zjALYeUZCNY*buJL&}J1KZyV30AKT$4F}lxHB-PuEZK*8Fjf9GmA?SsE)MGiADHGVQ zIKi_*wfdM*{Ld2dQaxT&)ne_cw)h%0I zm1wsLtcJQy8N|6BfYi0E-|HK%B&8{}i)y<<{9$r*=?0D^M!A`W4n3)@@8DnzzAM!}RQvR$;#t$${nX@u zYF;1MA7gYp-btyE>fR9*C`k*|@XgCnpBP{SiRRqAEbXW8)8rJ&@SPT(dddgao()KY zM3poFA@+{0()|NfK0WrgP7X{+zjO5L6cGMta%V6r!q75kR-b4 zuDO)pE}E8f5H^jeAR3}WGy(|0lN&>|Ai>hn!23x9E=Z=tZ+`Gm9?03oBMmwpS18)? ztJ&n=IRX1*{djnbjxc15>G&VSv@Q-8Ho&iw_gOaBTREq@J5MG<8_emU0>$24f0yY7 z{N&a|>%b-;{oQ2iuQ9&$k0`I7`vEYfb%=RRYIPC8N|beo6!%dAR(OD$XpOy<9KCL; zO)tjaAOi3TtQJ`GH$yf-GJgECyajRwD=uH$2{61-#?q{(X7J!ux?<$M-R+ny zuXHmuO37?3U!Y68D^FdHp+_9ke%a+A`*ZEKPN2!YfK+}aMc{=#XPs3hg~Jl+L2a3&kU6eR(Jt~# zIs75cT!O6S84)v;n;uV+Q#@{e&!?HXbr^cz@-|(}r!w{is`iVHp{Q=)&ZSHjlYEL* z8+Jz3*i^=s2j~w~X`m9zGN?wZl>&$Ze^r4F4OE~FpuhQlU>1rEAaw#Hh+;J&C<`F| zMG+i-UCq*J56OLw#>G6wW8THg@{jx10v%&9@0zs_Ms+!;Mo~5@@UPDdCLROhE700)FO2^EVn+5%8_s@3r#C0yv`;CrF<(#epX zj_nK$KJxRCjAQERbOZ0cB?PFeq=U7EpTy<{oQ`2g5@|To>#k3@L5P&{(lOdWjTbh; zVIdh^gVOK~1eL7eCL40fqnIHi{udZz?u{P|_ySvlz$FJ4kuS;KqEZ+Oq(RrE2NyFx zJP^l1EkoTx0_1TSMb%6wb0}e_*1xQ(kcI7=pX#uB<&NF9t$tc6@EB)RRXeS@u%ju@ z%~h}yP$L|OTr2QE;|_7{)NmB;A(YIlm$>aVDH*wNFK5KGDz6|z)`Vy04-KVc;6WW% zhA~AP*9eEG4+BZ=3969~1e)?la=*Q$&~=Dl2Q$3F=iyi0%yE>$Cb;AKglU`QNy}O% zrP8j?mgVtBAq$Z|C3wRoR@0Jo2u0SRntpl;0~w2LKT)wEg!Rb zsVrk3ulkhPceoE{zBXa>D)9bxjIX?JeOOfstL4{0EjG<(Jq13cDa`qIqTPXK&lZD% zG1jVzF=Uo4Gm6FxTN5&jKSO)ZW_Q6VR2t!OLToL$Kh9U1y4lNPXmFyPP`P9=nu^~t zAT}P=3k|W@U`5GXe3(Wnbt*khr%B;=i*bv(Bs#&l;GJh&{aCy}?ES?2SHe3NFAxg3 zk0z?DaR}ttWOI$M$n=e{h~99#+)t-NaF!S}PB}t(dmrIQiz?OI;eVHSp}s1f;6Bm2 zZ3qt~*WtJ-e$1HXy><-tV%}1{_HWkj9mZ*iO*nDN#@D!NC1M}t#uhEavLU{6O9aQ4 z4{3D!2VN@}3E9yW;<9zI%-W}Ksct|P*e1jsyJ8q>CfMkuzvXxe?lkn@vN5}@A86Kf z<(#^wtA(63PLyN0uN>Ibb#+TMPdGUmc@V07PB)sq6vQx~AtEJN5ycV?Z6Q;;j?eil zvGa+PE3`#Z4RIzjDb3SYx{JA}RhKBUJ;2#~8SiUEYN+d2>;76JnW} zt1f5H$@(NqUHzAeolYouzRtpC)iJ1MP@P^}grozaL^$+39cH>s7V&82Ur)8~c^Y-hDuMg24qRXR{PJ3SY@Lt~S zQXpLIN_7PSyi5<7EHj-nESFD+KhY)Dpb%m9seG=Otyre>Jz-mPS+;05s#zFE`M9E_ zSvhF`5LgHmNo!9>I=?No$b6sc4L$78dc|WBgwkfYi!+!oIid8s5c4a+{r$HZJ37cJ z46mm#o_t92yLi}95fn`OCDMJ_ev6DvHhT+he0` z3Iz;LJEKe_8s+dfs9t69Mi(0&sn8l3eWv! z=P5Cww^z=$37{;SUd^Ch&nX|$Gqz^w=FhOwCZU>l9%&Vim((m8R~tuKr7m4{vYC3U z?%!l&dR2~&kXN;P*9$r&%9JZ=H~C7uUDt5DWZ1K*X6-d_gzTeYPFop48+>rC9d-(_ zhpmjft81m*kcv_ek*%%KH_bpY-j-ThCNi>raFM7itCOpGP-`$V9oopNV`Dl06X9%V zy0F{+0W|3-{A{QlZ08RybyRk9m}5yk7@>sN>Wf%_`hU8N3Az(dA;|1)W-pTyznsKx zZlEiP-PTjQ_bjaG+LSSCURxOvBiikvB+HZ=9==WlIAogw9&+9Jjq#5E!GT$Qn2tUq z`)+Y5+?DbgDf+_gxd!yv%}QY6MJZmLB(gJu4ju^}tcAJmJtKl5`U$Y3ks&|ro-^>P z`$6)PBrCc6S;hyF#e(H*t+Qr>LAPUrjyg&AhQn@*UJIX3*n8#}??|ViL*J~RGGS*0 zjdkH`tQ2&q?S8V>Jps z-_D+Df)JbBNIqani3~Yq=_I=6rSDDHLNGcQc~BNMq0TXMev?mBb>I#!5JNf{vl4f% zzfOH%PUKFS_f1oK^M%wv)cp2Eo4!>D!SNkUr?TD~;ptEzm+{u_NfUbwD$coFX7Pau z=&0haGuaP)$xdLg0@_GrDjC@jws%4Duz8Q}E=ZB=ur`whHhA7s=>m0CP-BcW_cG~V z{M15kx%i;M+S-J)D0|gaV9^~}q`j+)hb#-q=(|z71YyYww_{b6*mBzs{0iOJ`i{Y>=?6?@$H3N+qPJBnYuTg4(P<<<}G~AI;0+86D|G2fQ&Cp0ZCZDl{6 zhMImo2(2@TUi$XJrJoTX(5Q(uCub zK`9S8Wn!d1gN6{Dif~8#-p~LmHPQSlFliLLYh-K&*;kwbq_^rv9Cw}#zcrYsVg)G- zRzvIr48P0N)zyX35~Dd+1ffMV?Lg`M$*{~p{jN}5TwIf8CjkCKZl)7t_cm&<7Iw@( zrSp%>R}c&ry8k;jxk#Yq)b}@LkDxM3PG#NoiF-(sjl!e zA2dk}kc22SP<8ro)((G7Xb!%CJ$36T&$4Zg`eLSz6<}Vu13Ta< zi=12$hFw0IqaM5fP-v2M%9OdjIT5gm>%k>4Lf1kn-U^{7GeYB)3*W+s#j8fvVE-~{oQcjQtC77uTVyinC9Y~{DmsNlO8q3L_Y`LdmV1uYB2=xh*7P|% zP5$yr@A?M01*VhfQ;StUa=WvMg7JmkHPx)fSd}QUv3Z{#Znx$i7B30PFGbsMw94^8 z>8JT{?Bc5OC^b&0{5woJh|Gou%I%dext1}kaRXZ4u6Xu>CtLk(Y;^yCKQWq+4|U)Y zbuopy9?$-gybl=vrK6brbGEyR!d0^&ZwpVm2Gtk*_-0p=eTJ&O{@fDOTwIlik5fR}iOGl-d3Hkr~(d-7{{mhMegMY*QsZl&(s^i>>kB-8U zQ!?s@IcHQ_y(T0R$NSTFDwbcN+t=h*pbr&q#a)w!%cYw8cR6(MiZW266Tym)e3pZz zng!_9EV7)$c+}wJVFo}E(!tj@{*U0$NHFYc_+eG)mrN{16G809ZQt|{oLJT;I3sqt zz|GG;KWZ1Wgw#mbO&>T1c%1+PpvOrtL-TzD!C#L^C_<5$>~0KV-^R32fSCU3fx|9_ zA5IGeV-MN~Qe<3-CY(bVqYrt~_K-C2rfiyd%3OqX-`<4JmVv9TPq*8vwp2#N8BUhB zUjuJE9`$D-o)VD(>tCj{jGLfGg~ujP+(91)Xk0S zvLACZuOL9L!#3^&L3!-MY zff-DRQ{M2CCZ+wzX=@J=ol-p4$*4?7jKj577y+4{EJp|f$(*Hr+S$&xC6{YN9v?`Nd0$-aNTh6`4^;YzOR_bZr^!O5aMAlATYK>-rhj#1XLp4yI zM{h+T)Wyo;qeI=ec5Qc;)OQ5B$4a|{#{S#n3bY^Pb_WAriyH6ivPQ(2Xf1-hQ@^W8 z+^GS{)`KiNaaCOnp0&a-lqmb$Wl)3S5q>3t=4GFLG~(3u3Nn|Xk#`@fVH`x*?azKa ziG(15oT{u%uTT)IsT{D*^iY-tLpVrvO{|T5Rw{uSvGmdnHWGRwj$(1{og4;*3#>$u zhfvTy(oh_QmrNP8JcS4Bn`V`8k{D_R&x5ead^-{euFN!QU^mo#L@aAkdr$*41NE&M zsl;ek#d=IPiG=C9CTe+z`xDj27!nD>6_!)P@qA}k3#V^qnMvY%h~uh8+Hx3(nq!!- z@^1?v@*^~AAXd2q6cXW}1oFr~msMPkeKM+_#{*uP-stCWOKnhKEE-f>zAKJqf|M!| zRYVWb1bJVT%M9gk6OK9bS3xiMWI@ERkUseG;ou)Bok~1^ncdI9Y$|i%tTSXxTfruF zoklr!MP?$GN_M4l$BqzUVw=vyp>~~y%F5w3jp8^`3g`LAd5|=Vo8viHH)m22(Jp zI_d(k^x98Jar(*jy+iTr!l>3Y{Y5xL{b~kGMDMaq!K2aacnNdbT-sLP76FklW@N3v zhN)KW=v-TO%Kg#2ZkcixQ+CgXPKs6U*E?nWo$%dY14+uu*U$ac>|T*{FzA{@@g@An z)?d8zy`sK$m~~Bits)MfLHdv)P_`aW0sb4Lp6zz2+#e~PYK!?+p{l9=Yb!4yyP`~Y z`j624O<#Y0s7oMM4SM@|&Bth_h!sJTF9jV~=V$4t+iX^QTYOU|WC9KsddHNFz@^d2 z8U>ZKF1uU~=5i)WFoYq>HBobz*vLhbzpQi{ko8Ryo0T9>uSpRgF+}4`)?OKs%&Q?* z#hu8sgL0C%@A+PkEoEB1omGO-eF-bWub$O#qY7nTFpHnhHE#+$``OcKNBAmL$ff;`QICrlwPqJ)Tw z{n83kLX&W@&u5*?d@d47xy4OVu1dWNSl2ZPsD@)+aLI5DD3*HGF(G=H2*_}vpcdry z+qq%e9exQ-`=d&+Lfp0@b-L4--j=|6P-N@~Hy(TM%fE$Bu`<0zTxiMir(nIp{Lu_F zc_2RIK7mX3#qhUO=sI+XFi%|@==pUb^N<~bvqluM1{29}V+TJsl$)>A?YgR_6eo05 zUn~6Mk@B8?WP^Ot3mLQpl?2R1|FDSm9Oi>5A(|x&sl}blug>JIO`pWvaxq%%2kCk5 zcQyw@ulQKx5E1raOvdLuP$xt_?}n(+zpkKk=(0}pSP|#B|ER5Gk7_P}=kURT+z!$4LRLyXhXXda2E^5PDt!g{bV3$k*eg7a8}u^=a%oJSu%nDyE_{Fx!ka}f&Sdu}A-~SO2m=Kp=@d(J z<+BW?gvCZY?S}^!AV((Wv+`L4ot}ye!P2(2>CiDCVmTANfp7(T_H#%u0&l;5SK394 zj;pKFmDlR4D5;jWY11))Ct+z-+wu_1{TQ7n(CHWgJ87peSni?SfBH!+f02EZ45^y8 zkfsYul71$rp+<~hb71LNuEV@DYTvX${&_&n)m+#%*I6mVlkFV*8dgqNViE$sSSJU2 zf0iuSu8DaU2(bb)oEGAx6<2H2&&7J8%|$`p63xBbVeAp$RfCtXBOqfjt#W7LDZRgQ zWFLy|g~o5o6l`LabO=9gR)8MbBi(I38$$fg@-T$;KL}U6*eWfFuKijjN>y3HUH|x= z_s+K)*ku9i6j%z?KLb;sP=dDKL@2H*3UT?Rl?{Rw&u6h5yJP*+T(1lrgs^xyi$n25 zSU25JT_NC+g4~?A*ycNlnxd+*b;DT%n+1YB62Y-X!pLdTfiQw06aAPxaEM>26c#1L z;Si^_-dMk+jc~EUDno-dNXiNQ0iuC|^A-*Tfe#M<9uUpU>J|lv<0{V59$V!o2)}2* zCd%(eCqcN?2-XPLUT=V&q8Z$C`=adkl4i!yYr&~BuEd4%%>`?mC_j_C(c;D>VDUtW z>paFIMTSE7baLn^@RdhkjwsoD`4uZbIE#{aQ3xYi6azCc3&FG@e?3^sn*z^=g6V%> zu65$gziv2vpckPKd_5J&k}kHreXP>7qYvVu!X@}R0|*&HHiD)AbhYedn^%r2vkcuA{fyd>Ouv@WD&y- z(x4iEbW!E!P6{Az2B~ZywgD|q)Dhqop82oajWl3DyX=3*@h%*1{cj)*p};`EI?n{K z{^6?=bq0P7T?+IP;}~{7&7FD*JcUj@tD6_Xn0cpSLmDB@s&oeKmbyR#$Ru?s05Q&G z{0uB|B>VSlrJ2rD_nQx0!x&*#&_|6sVT7ZJsn(aIeEe_R-mwiM0p ze?K^)H82jch#ITJW~ViD4Ws{aR`1(8@*kgw!|4S4?LInHt6;)?U5x+m=Am?PZS`|BjX%VUh ziFXq6WtL8JNPjc(ZGeYZwo$#9-S7@M1KZw%?nDBkkv^8C;`6q4&R6?5yY+$O<`{$5 z=gnRro>+qu94-g?|Nf%Nu>ifmyH;mpYr-~A;yoYWR{tw2+wZmZi&-9rL`~U6 zb=5%G(+!rB=o!uA2lr(2% zj7-shzk*N^5ZUnu6!!UFFW7;hg5CTJAf`lZ6f`Iz=(k)BO@hF}lD!AtbUXIj>tCTu zJK6DY(NMW$y(?tBqSH0uj;-NW@{1aN2tH3t`}oqr1`h^XJIm;|ZTF;cMm&$5{c{6E zJ!KMOirTsqU1mqLvai5?LieQp5Ut3ZD%!PbMf2yf(EGI)v=Ps5w8yUt#O{pJW~wMF zbP|kud9;D^Wsz?Yf8cxi=y0VP;*V|+Io?iA(bH=%@E3F!IDt>lF0lD@xk^qw2d<&# zR5uIGU~b#6!{RN??Hu%6kIWk#@Wk>8tsG~E#C|5E4X)gdR@ZQM&D8&jDeqh5D-AW0iM17Qn3f1GD|JW$z|J{QXPpSebtO>wpeU*WhH^ntX*yRpKR)<-M zZ~TZ~5XYM=i}CCH?u@8m1t@9>fmJN)S(1XGVwD9&mN66u|D5LXQWqJ=3n^S$s#NW8 z;q+Jh5RK`yh55LR@Kui+Wc@t`3aQD`ToXr~Jne#D#t=|-Ei@JMNhu#z6A~UIEXH6u zYDd`0_L3znW+i$l*@2nyoFs7!1t^i;I!#4@BTY#Fn}{GfL++c3-wwS!(RSzLfY%{2 zE&nb{8aiN9Z2@}RLCdK8d6#HK8IL|viztufseEnOUL?@cv-t6-X^1cW1#&gbl*hu9 zoh=){MURABS;LzM#=kohu4awkOW#$C@~1r(zMA;F-ndZJx?#8$|6_OJ_sY{y=fH!2zp} zYq3{nf#s-wD*o9we8Ktlz2Kr0AYH*7sE=)gJ?nqJnKoTXtLV8wPi(CJWdpI3t zjZ8^UU-|oT8RXY)ZuIa8+@96pCp7EnC;!7}1ohyQpXPn(j-_DbBHRu4PwO1-SMa** zFCpf!3quiy-uuUpi-a2WI#@Uw-cijf_`OHc*FCs zF4tvNTFvsmL&oco)|#Oh%fvhXuJo-5JQ0+N@BGd6qHC0(?k@$r5ESZ9a7z~9F2Jg* zXJdF@J=<0g53%S+8q%7<{lV1oeonLUuS4Bs0j2xdf)D*@5m}h&aBB;vRH7Vg$l4UO z-gxp42c_t*0(?xfjqm$xEAu`cS-F0Y)Vw#OY%SqNN;ny8XIZYxsysr%2D9o0qJ+Mm z5uG#dwv9wE&;{;F!YE<)Eo}S!hq<_+)R7$l1 zP*Ti+H|{O(<2}bLlj-><*l~c<4{-TAC5N;*6a~aU13OFq)g*gP2Rik{7Cnd zm}pRK2n&6QGEqHFN+`;L`ozG=6_Rlu0CCYL2y(az*blydX(?1a7dKEN2(vv2usyg$ zwb#Ze44c=)3FBi%5+0`1!3is<5~7&tLZuLALZYmun#kJv#%n0dZP z;tNv{G_9*O70$L46>^~1uYNA_Lfub>b(b*+Rg(EYAk+>s(0FJv6(#9JkQp_gY9&(u zZY|_k)Kf#mRn|}wfHW5Pf^DSk#@w}WKwP+?1=CTIDFvBPlI?h5C(9d%ksk#&cu3*6 zN~tM$NuBG=7BGL-XT^aC7dRhrf;lMpNa3}tDNtqf1X zP9m7$vg45ahzpb2_>|?qc0vPonhR(a%*%Q zU3InxQvN>j{6#hWY|k#N`sU=&ZPK7!%F=GTF1eAEr#Ts{pyY^-@_dy z)?;kQs}A7R&6yJ6HAy74(^nx*DWO4}RVdsG9J=6NS2<4GaaATL184L@Q@k4Quws)LPbE zLYXSj@lk0Gtk*+9Y-NZ|&%RHso$#BR=T4wpIi4*)z!_C_g&O$PVZgZY^*6zMr&{qV z2P$G;mEH|T5Ty1%Sq{}>6wPA)(~mA~(Q1+bm%ZhyD0icD)i*$ynU1;Yv!vx^%Y1xl zTjO+CuC+$|qQ=VQk9r|&;C<2_$zY6;{5Sf zNAx(Aex7^gi+*SriCh9uW^mCF62l+gCWP>HQj6?)la*i?z3}AEy(_^*j<;_qeVibt z9n+p4a4*%;tVX49l8}|m5oOa9Xd={J=3rpf<6dgnwJJ$Q?kZotL`hDLtL7H5l&Y18 z+KM>&a$z0Y$VQ$Z-qfP?n-=8BSyxnAGL!Yz1%e{A1l(a@Yyyx%h&Cb3nKBO1ffUD6 zjKt#2-wC|OI2qiM%`lE>M*{Fg_X!eWekGVC{P~k)rg}^_LZR~~okS|)H|+?;tYRGH z&=t_Q@EhZN1ygd~CH%9Z_@Wpm0ZAtb_Ol36c<(U7}1})Vawu8hFIO;Ze z{fI_h4gR``VcmHBW@sG~#ERFuOWbq~4NylDrbQS&C8kEQj^q%Ksz){L!f0H)X^xot zLZtXg96*;#RBdK@0mbvYf{|!5_G+tsL8&lM8Ucokt0S)6g^k~^K3)&S3G0qxq`H;c zekkI;qYL;F#zkSZlnze*&F1+~@%2*PtH$beT`lT0{Ms~PCiuNH99 zzBzKs|pf$@s-T5H%A0CX6SZ5{szI20)OMfU78^Kl-XR;>mfoI7j@x zo#`>piUIeUC3Fo&!+&14X$iP|3`lC)jH7 z$C!wWoSczOwd~`jb+v!mpbzG7J;-)?C2M4vooTRd$8O3Dh1m-s;-b8rSD9^=rORoW z33a%JCq$K)`LCo&P$P;msDi`zi>|pK@>9AUuJRG)6Cw^Jl!zJiqU1kJX&YU?tqbg? zm7QQ6A13SU0`pz8T-avyC#F-3p!6qp>~_+go}X)3QSKIq4O9?=2T(SNL0X8&sP04k z{?JrmY~r;V=pL|wK9_B%PQ)B?KOMY2rmcMbTystxRv^k|K_xQ~Sj=1~x|yYg8KszZ zjGzbe1a^35kR>h7nh@;pAQ6Bj`#U#9+^^p9=3QUSNnOOz0Z*f#k8Vat)GihiD3a(5 zXShTIRtJ6p=Yke>$oGVQ%!4gLmiuL4lpeS5?HNpn^3ao3ti@efqMQN-s>N%sRU?`a z2C6wlOXh|eLl?0#3dMPBl*t?iJcfttaGfPDQ%9rGC(GL_7h(7eWRdc~clc<_T z|EHip_@5$5?LP%5Ged@n*^;s}GE%R&(G;&e&t;M-6}Rd52VT@a#s3I_jmm%lKoDH} zXOP$w`wupL1Va9Gpv=J;t*Jc;;urak$CVEZ4saAu&I=6AxF5vnrZhPK=Ce$^iPGCQ zHN#`9%aE!4AG9^Yd#wdd#8}Y_Uja5Ja{UjNNlBD(!lu#t2)&`h#aI@(FsnMp6r(k( zZ#_t3O|D8Y2?C*+LDai)fvOZ7Y*(oM7aJ&_^3HLTDOGu~?vjQ~Jzq^t}YD+7_)3F#>Wg0a$m@GU&i( zAx@g3r6=4&P6SUPrSUnnVIf-8vKvPGxSaUZLZ-&Ug&egp3@d0!aII8sI6cSyqG;R& zPclNx7F?gmr?nT)*lM+>M$?_IBaoQVvcbw*`HCuxSDby~z-6Eio$t=^` zV#ON1n|FU)e(Odz%vv<XhiDpS z6@mm+4u*%OrMw3v=r>{-^E)YPt$Z?Nnj3x3(slWzzkde`=@o^$NkOq{yT+ie75cHh zL(EhgLE|7pbbxD3!`vh-L|L{=(o1FLZ>O5rWkyKhOWDMjD)04+m8L%L?Ovnklh+7) zSy9;vt(pIbEWX4*NPIq8TkV3Q>V2`C=HA_{c&OjN=c@Ce{6^tK4y+yF8a&d^d9zR% z9Kmd0l0Zo0@LBNQw}7H3U7R3U`I5PR2)1XvsA_RrH$4@`-~__-><-Kk0-2bT!`Bmx(y!!VPK`dF zMJCrSd%*1E0xLb@7@9kWp1BF<*~X+B4GkplXr_WMBlIJqE(D`B#-y}s>3-W`V#|K7 zRT;djBef$qPy(4ZaYqoh1 z_+GuD@t4-ba)&Xd*)-B&Ih8kGx&kwrA^NRGbX+gb7i{|=pi{G=vBBfB{ejQ&UAR~q zMKY1l6dCyId4&Vu8TaFZCjS)KQ%UR!j5R}rdqm1&0;+I4u@x=4P;qz)^Ac611F8M= zI5SihLSa)OO28rKX=6)7Wi}qBhqxJLA}C^FiHa)$(3qqJH(}uh_`h$*5 z&QHduU7Rz2OxQ7^RGv+{VF(UG_O>`@?H`=e8G>J^PQ`S<4yL$-Jkqt z;v)0>oT&IXgt7X+SmiG}GjY4^2ey!Pd?>ai%AgR1%fy%h!I<{q@QMni5>qYdJA9f9 z2EU2HJV-4F7sH^u5su|*EsrbX3vtAvJpFB3qd1}|8x~!K8kzeV?X|KObE^nBd3DKm z_rKtS;+kgXlq$VNcF_0mml)H}HPKZ*e~oHUIl`v^6mrVBCJr?2mzH1L1#?USmY;_? zyRSt}KY*U)IP4_Tt(Q^tWx?0+Ud!y#m{Y=Te%nZqzxaX&8jk2SeECDT2U-d@`p0p( zacbx|#W{%5#*p12zc9MR(~jK5&{AJqV=Bfy6Dp<+KSt5!W1t?e)6nU>xi#X{oC>*qSHnBz9cS$L&JgYQhvtxCDZx_xkfsfK)LX3rSKe$Y_fIIsR~cxSJ%R~;gijb z2yVH2_Z@=8FY6?oH#cUhi${l$S^HJ<$90lM9|yD*QGNtiQnCfQuA{?*4Hce9ai$w& zqU=w-qD~~xmrF5w+uL8sfp@`eP3i?}dSiZGB=$%f(+XrM!frry-`F+1Ovv8Ry-qt@ zmq)gpoZ~f)=t}}Uu#DWagn^oXLWL^OQW@c%MkTTm3%Kr?=uC1?|~7 zbfB}j%sqd&<| zcXQ@Fvo5UuKLPp4-IL$1T~C9b_Q<`Al(s)`;}37pPc{4hB9hkUgGa3DAF*fe{&JEG z4ga}-=jqNT1*R=5{3Nu4iv~~p708g2Uns3Xa56ay!-RH{;PBJ+qu6Nfj&{QwAQ)6u z?H6DOP|EmL^}uH>js6?ifu%B=R$yv*a5?X0zh^NhGP``Npd_`_{&vCaww9 zNzz>NRkF^_wbgzaFGVo2WbiMv5}<1L=K zs+=hV^`7PW$$R#=rkpjE2h`yr3_Yfp$m3O{xh^N5o219#QMnH>SEMmdaehudb0$FD z+?V|@jba;}W@U&F=MvPp=i9Bg+FbPe*}xZRnjctzXI&!iKP?am&8kz+ZEPB5DtI^i z2xA7EHx}{nk7x0>a&TOx8eVqqYQ2x6xy|o~B`SIEhT$4tcDD{!BfgzRf6gv|VPvjm z|NUIS9#^w|3@A`x=@L%L+0=<}g5azml%jD9aLsBc5+7A4SAGz_D5$N$pLY*&z_~ox zkn>e30EP;ercIUmCiwmY(3be3a81w^Oo9|e|Mk2OPDS1OS0+*aoGnlK{I>xIG|(CB zBD{ZRSMIBLZ~;U=jnwsfM4^hL&s&~0NE{MIOZi*-sOrPRio0y&-m9<;~ zE7fTK^j0XKjRL*^YWhT)7HvAR=s65{*^B#Lwy!PzjcUQZGO{+L<54@aH<0cjY@g*R z>4U#?!M(f$JfkZkwi^Kj3WCtu-!ERo0ne1IH@o zu$w!Ij_cNAcvO=ui{)$7yOrb!@~4&LV|=0P9einjKHFETcT~U3OKe+y`szQ(9{q+S zNBq1No=$0YM^s^O{19wnjcMzQ%A|eP$)QO<)K;#VTu>J;gE$?bR$y4_7dTT6yHL z$47X9O8|`w*+WVtjpph5Q=o&>UC!IUj`Y0q;x9?(FnjF^I!;p=c=KIKhxvi;YcUx2 z(ars7|4d4Yc09?3nG(oSOt(lDCyWv@=waW3hX(ovh>b65w<>6DSQ?}_5IG<`z5uBGh1R4(r z(RS-yolP4_hERrYx4k}ToNNogK>B7cl%69uhOD4crc?3-)V$%rCxGH@37~iwM81nL zKLA>MxA|90Gf>Cq(qVd^;K4ox=b}(ZmNDVc29We<;fdubrDJjiIxQMe7zha0qEw2z zecR;xzC5b9R*~8fJqE0Y`*wq}9Hm?dH2x*37<-&p=(85z(Cd{7picnS1IX3^RAd?a zFflC}_wa5W0Ts=}MR&E+3vm|F&$`bFAm${Gu$S_twt#$pVey8pN~#Ls<_3vRfSCh> z?Sdz58PGU(;-2W!2=n$V_e8hm+k<~bRpVAymr?(oI*@5Be&CoyB&SVtvB*~`f2#Ve z5BF_>@iq{JH0WT~SWQOVb2Mjf7jSclAtyJ`#)Q-^rB1qO{dYJRJ|`>NAAU!fkrMWa6Nu8?5uw3EgvN3nwrCI!0$l zj##dOX1W$*QckAY@!NLv)<{f`V)SV7Bk~Ti?Ez|wFwIB$mI*JdlqnmfQvwSN0smy~ z)oE0)1()&~A+73f9y<3)pgN}?+Q_+#%RxGjdFNyd6f5Jbz*1IHfEdyxwi%_Cm;WG2 zCYtER2>Cg%&M!a*SFVER(p9o(Y%1)@hn-x>Fqzwe(LYqoB=Ac2u5$zZqmGKa5B`A- zOs>@d84?{GobhoXXNB1a{2~x72Mtt^c57gT_Pbk4F&K+dyZwdSDbrP{s{3Pfgz`;Y)uMBy~v-AD`pQxFMp$LnMq43{MELPiE zX}Zr9HTeG$e`A~qH>8yvuY_Oato`4^{sSmMxk$Dh86tzMLyO+aCR#1q&l~HxOLEZonik~bzXU-`E#^jTn zyiQtMjBI3z<>nLCoWJP?Uagc`$uf6w&b*5QTzE8-5!QTue*fos9cv$$E$I{4QxXK? zxh?XgPvGjydZV*BuafDh*T{ryVOjJPdaUEnpcbH9)>yyJR&@V<)zqlL$oK4)lBG@2 z!_Vrz@avVNNk00A4kNO2KzRfPs>?gdSU@TBAP=W)(<8nxVxfn0SJqr{-OMDVESTh7nb-PG%y#hhSjd5wX* zop_UD;En;=XpsDpP+dH^OW@VHRHeiUiQLh|DofkD^!f+e3v!S8lNa~@Mb}$}#nClg zpt#H6!3TE;?l6NxaCZX1-3jjQ?l4$zmmony2=2i>xVuBnyx)JGb9XMbRMoDkeKGxX z_nuX&#SL@|nEd>l^SWImpk+?U1HZQuX~GkKQUXZ=!o;!oOl@9bt=qX1H=`rAlLG*l zUS}J^GnYws-ME-6?zw!IU&Oz~FG<$hz#~K7S@0jmQ8f?w90o1@l}NLi6Kynltdu`L z6-fQu^TowPzojPGn3m^ROJgM%RC1w4sm0_lVnyA=RnqBH=*KZZu z&;Lz)VJL^sY|f>5;u?nXt-q>_7K-U|Kb3DT+=@p>bXDA?TYrEp5uW)tbfe7kBlA{% zzw05`#9V())b!F;5&gQM?5d)Rsn*1&v2wh>JMMZRPw6!Eh3b~)j{$f z@Px-3_`RGB{<$3SgzWWc)}yX~+q`hDv#oyU#yjMn%lQk0t0iD1pDj$L=A~LE_)8wW;&(1f<@~*!oiEeLkTGI0qs3kw<(y; zU}y}lLyaovtIXluk`F45)%b1PHUze&24xL!E-T|YL62Ai4C4-#F zS&L(+zG#2Xy5v~wh~ix3rJz3kq4<=b%kXxLtIcG#Zl2WMVqz@W0}#1l zOh{AAxe^BsG!EVoQrf2_+d{UN?Ya;1L{&oEy?`-Q9rW5s0)A2XW~=z3{w@L(i1Kaf z$FV;RmVqJjDNhrOQ4WwwewqimvZ0^Wsss7pnA&$-=vTF{v^Kt(pMLsT!V>k}!*a`m z^zB~IAg^sRwBmDT>z1ztnn{wkyMMh1^wJDy3SL_?|7_RgJ5oxA&7k*U%rp=pVrVWt z+(Z3Fo!X|d;r5XD*5Y7tI(f02+24$fwYyIW@9GtgdVLwUJ=`HY6qLnLtB0Brn7kvS z{c%579u4Wv8C#(Qk)A<-eWl0N=B00`w#EO?>^-d7|3to78ic$f_UYoYoBTG8*EZOs zkt&YO)HZDXK4b@zr-BxLxNhqgbLJn`FH1C@2pLO>o`bV(c1H~At^S|*4+%c4Zj_k} zi{d3NRX@W2H*|OhH2Ho5px(xmak?XnKL*Q+{^W4KvU)QwaQU0)$9q3cq33v-EqZ;~ zj-41MbpC|*T;Tn6&v$?ODo)7YQS|0=iQD&n2sM0gx}*RM(Gd*=aqv-=n)b>FidSm~ z6nTOc)h4H^2xw`4$aIKQYa{q1xO!{X4#yUh7#3==l!;YqEBI>HMxEV}?co)xIYO5P z7nH0OXt6-a@%39nY6_4!@z7=|1{DQJpj|H%bxX>b3VH&MBaoa!O-<=gE!w(xM;lb& zseuY*vLbbZiimZs!kl;m2iRux!7HIzl|pN#^@ECVzmPdK5JR8xvrwm@%1(By02<4x z>Dq2QZY_kpi$TR8XaIsEfS1`fw5l^zPDhX?VMFU z9nn;Y50u|w8Z`$bZjl|JQBrk3FG$roDb4@?4R65`W`{W2b%S4grP^6smdF#j6v#Xy zi9RLKd0fLw^P|5epm_Z%`!mtr&bd!IYfaRTb}dc=cDXJB1+v)xC7h<$b*VX;P;FjR z3;5jZr3*^^T(%tR6bQks;qf)#yJ*s}N7tg)#bHzmAy93ayGOLft!dfH`iwYDt8261 z00R|yPV5dPj7DK*B`iYHu?YTQR=5bXNGdeUR_fpe>f~!OVZP%{ZE7)SDV!)1eJ?^g zCVJD7W%oEq^n(`sriQmI#>ZM;j{Dw{$RbRSJu& z<%#u|Sea*a|NY)qRgq(}*Da$Qb|R-gtM#T9xnJkr@Uz^bMC&CHPE$~Q~A z;WYrU!aZmgncf%pE@8#}8gh@*7q4=^Wu4nP!Qi_Fd{gqN!en&S7JV>nk~LVZaS)%j zbm#&VJyT(P?Xhcaduwrqc7BWBEMJb|TPoEj3m6zzcF6-ix41|;4hiyCT+PT}t$v$( z%eK!|et@eL6S2C0O5uF5qu4F~+b527V};aMG2DfpH>+;Qt|3kEDE0%0cvTo-V3Eh# zU8rnOR#l#pg(@L6_xYW`JXEXhM$$)Xy|*eL<0RGyor_mRmE|v5s396N$v+?eV}75% zslrJH>LYMv8#`Fhh-pto9p4|Ht78aXPP%NT?uh}ck#{VDf9UO2G1W^XfPBnIX)WRD z@iFjbB|D*96(j_3jcPMgyv&y)=9=zu@o4{e`Iv=DWx_%BnlL#v4iu<;s}&!nKQj9< z%(?f2epbLpL4mU)z5AhGN{S_IHBkm-5P|MH{1CAYl$=*PF(@n!>Wv8GVun$A=WUc< zt~g90ArSk@$4rmUCp9sg8aRPG^}h&6QE_OX)IcTZmi}9+JMq8#G3>3K@&D;Dt9ZP`vr_K-L1M zPk_$&$)%{Tq_(Q}tq6D|Z=YOYQ^3!n|L;bi@1h`V0MN_MkBcwpY1iC72z3uL9Qrq= z^{U!FPhnE8ME)d`QPKH_Y0Cyo7?ghr$Rwl?N#(LyyssoQ|Ef0o@l(LtUP|X5gW+5v zJ>)um!r*u{ zV*VuiA|u)HOgdXK5z3$6`5nAD!K6{Nl;)oE6E9;n$QgbVA=z@^(=LeXE#!VdXOlWQ`dCWUc*^2W z6y+3Qfi*VIe;j`rkqRIlbH|i=ur!a3>qs_r!9~!!G!=?LT@q0W0xH$m3Q?DrtOpRN zUQM`t>17F1K6AIDQV&0Q>w=?~_xQd6W2E-?0#~tMiK>0vllvp1#iO+7J?%;lV4p)? zhb|5F0TE2GaJdOT>du?1+9N@n_~T!dD}u=VUl=YI{ROO2B?=G3gTGa6awOMX0IqL8F(0tEz<^G(kL!QaC!KP zWdQFtYt!L)YWd)-RFWhSsS7FBKW;_q`b(LiHrogL>3GYht!qAibxl@owwsu}e{{kE`r1=*zh!|I*M|QCtlgph}wOYv2hY5 zw2ld4qH@E>Hdp}XK37d&pw+r%q2dqT9d6qNWE|y^hmEpt?7rU+)Y81ZPgtGX` zz1HzA1D@Hsgd)qWBgY~5fSUGyAtZ5SZ|rHn$Yf_a7EHpOh+Srp3_(8YW?3q`zod;b zlqlj=56CgCm$pdYwkz}1SBWudA>o+Qie}%DXCdTp`!+Ymky&8|nB~ZW7OLv&JbUxl z0*$nZc{elROc$1RNu2kV6YA$LN8LoM;+MMnYZzUESwp==bFc3|tv3Nn_i_Qb{!B#H zd+<@qQnPDBhr+<#BG9w~LEo-}yU|Jyey}basc~{TGt7wqG5?qXL6C)x4V=9R^xoif zOT^gcQg<*FqwE>`)|ti@e2+P z!hTWy0Zhe#u6sp;J%Pz8`PT%J)`kPYcsWkF5wZ>%$n17{z?g^j4@|`AVk|L^Wn+}gyJlKIn z>G$yLL>)?-(DDKaeW?SlBJMP4x~{yZt)y;IM(izA0x2Dtj7g3#mVv|gFX^hJ4zutruzNDD_j_%KNxm< z+a0(cRnrL@oRGSau6DyGFaz3s^A7PD_!H!VI4kB?hUywhvO7?S0RaEKsdj!5Kp3m$}^jZ5eb(ml0Zt;U^Mj(Lu@Mjz zyX!38+0jSzG$@2&bZaPugFsh}@Icp>C0MgXht6{txU%0^fNiGU3mBXZiU)E~?@1f{ zaK|pCFi2&!`vRsh)5*{a=HsonC(KuDsPdFxe32Y9o^!th3xS7r2)0i>pNWMsya&_I zdy8&@QR3IUVAANsJYfWvcD^7Vu5CS#!ze?bIpA0P)?v*q&l=$e>tem2Qa!e?Cw6|Ixn~N7_1EFY ztbm$RN#2m4UoI)iz&G-gEn+%;U4c=j8^H9J`*&Cis{@gGXl;;KlJ*{U%i{I&*A>`F zm2dukPZ?JnCeO=6kIC>?mM4o!-!AiDF6Ooqxx-bK{s#N8Q%-Eny;_T`!u)1HwiavI zrcuD5!WWXM9-oC`kRJr|)31Mse6ZnU{PoK0&6X-}61IaP*>8jXi_ppU$ADZ1`NcD& z9@M4;zj*&))R-F_pNX38@x!n@dQXK=!$&i4-V(FB#9-NXtaa}T1UwV`X(-e)ZaAO5B)wzuC)?ZI8!LE7hVjU`PfU9V2@Zk z5v=>4c+dYpWV1W|tA(24!9%|)IEqMQLtg*IG0r4hwB*oMyAcU#H@U0tqAKbAL-V5W z!IKWE^fo+myQmY2>3YY58D0@`$k(Oyd&!=GSBa-at!s~?CFZ9T5BqdKUf~DK&guLv zOe6Ry(HQo`gUS0Ig?}!vR^N3!Rm2T!q0PR$@C@C>_AsYorgc4*a4*KM*{~I5 zii#8Hbj|<`4@Ynzcn&dhAPmF8emCT0Ks_r8bCUboKb#E}-uvsj`SNac+aQQk%nb18QPL|RK8-Yb)>Ou#VkF+)gP?P%B6V%qH4Eo#?_0lFZ2kYRXQ` zflmzrbU+2KK`9@ychO|Bb4fnQ!Sh`lR}P`IDn8j$%)fVPQ5&liaVEkmo-rCa-&Z29 ze)QKOfc#1;ny)PQnD?gQ{+e?=?v}a#A?X&wLg-HO3^D;Ta+m!gYDft?za%@<{>+~w4gCce#hZgZ zIthOH;YPwt%g{IyRgR&X|L_Stsplgw)Rf$3>_u^6}{sz;WA^X9w8|S!We0^2>00&E{*%9Zp z9Fn;&Ru;O*MXaLAp>Q;}DPivGZ10YU^(vF*a&dY=aBS%p(c9o2ZJgf%LG47du z@qGkyYn||DCbcB9hHa_4Vf?{Kz0OZQ`y$7;SJffPIn#~%9Y}29zm>^o`a$)^I2X1X z;Ak2uWfM8*F869Ar)NWhiZPrc>1X3h95uw-?x>D^T*MW-i79Dj&;d4fI zvAEo#m61z>dG~aavH>HC;Sz&C9;GU9uIrz9)KQ>d{{=!7>7B6c?I9P^;##ipoSJ}c zkJmmPyC&zwzb9vlUJvq)pBFO8Fx~t|&TSWna2q`Q1)*#r&(DVWC+I$vL)Hf-9*!A4 zzB*Cex)XWn#!pdk9};au+(}~vHGH-WBYR4AY`pN9{gyT$=h7CcTgb&HnjgpC750Z% z>xDPN(~IwXtk6ZTab?ptpGGL`JCxFGd}L?p2MG&fw1HjMx9s!zabsU#D&d@%@>$$@ zN<5cUSD5=bDwN}<`P4?j1|qE4#+YX3v0;9-OR>2dc`6+god(XUxegNY3WU}?4J6g% zj!?|C{zOUPJNbniO!jW>y!DMvLf*pq%{|KPi0(+)@y98u4>-se|Ket{WY-S_A=v(l zRCm_MoNDhouhv@YM%OChlELev5 zzfQV|)_iw+*OPvcJ*y4xJG|ti4P3Ot;UKc_{+QOqzwWmC=%INy@hy2!0Q8_}zCQ&Yb*gew!j!#z^4fxv~Ou-+U zv;7OW&Jfm|ZCDnG@sB!zA2YVg+@)8xP$EauV=Epw(24AMW2yR@1FcuP(LtDrOQ{}d zcQwN)JNtc}WFM+e{}<#X2{B}F8R#~M6V>-R@?}e_<^j*a}M_;Vo_;wNm^wx#E2J^iVpfZx+PQqjGPO4X3*VOqBH>uvs zxq;i=e447r!VLkc9ZPwY4Z&dJA8zrw)7Tpw83jMw+69%l$|x&XxymHTI?I}d(`saR z>twrcyHsYvlEP>hsUGEg)B zSwFzhU&1n9hJf%)*e|Xbi!>JBI9hJIF9~c8`XwkWk9|k7Gx-BKAY~Wf!vefLlJs~R zIR zzla{_x%x{I{e7}{Vmj9shkP!--z%Lz5{FjIfBw}aE#thwv7z3#EF{HP)H9%yC87lh;Hfew;8GPQ`NO6{UO^duoKX&#Pn7W((?C6z zE$K{N`BkL0emx)ZJX7K59Rq%|*5sf4E5K7l&}pOjUF7cn{6qfpj~|r6ia&-m;)Mpx z`M-c_(15nPha&&wX!=hTMDbr9)Bp0w=(9!2c+iArN|tn%Rbt+isIAZ9M?%v?aGXI? z$`$Mr>HF~aCIvz>rmPF{RFNJ%l0mb~2L^21%~#04j!Kr0ggIfy+b-QeyB!LFFOGf*9?&Ssae|&DLI;k-1BO5qsLuw)kLSR3zklr< zcwugHb7fiQ`&-l;za(q&o8PpdPv;I_EzEsj^>be^-A<19{+G} z7PaOuDtd0gM~>rt7cmAULQOKfkXkizU8uc*w@TDb)63dMQ+T1m(fSHn+xSu4^k0OD z#5_|YfOnU*4baL>TMXwwW&2xV9y88L!$BX*3AY%jC9I;%L@r9dsHNyOqQaLu%thv5 zAYH1Z<*>cJvXti=-yPL%Q8$`eAgjrG=Q_8i{bs8FQ*e^;tR?6sh9c`Yr5-DLuNpCA zSVKE1yu#&TU1DAi?F*VAiuy)-ySM+F1V_%f$>Fh{tBUq)>ST`Sr}F^?pYo%9(NR%7 z`9R1celb$@W$3qDuhZu6BPOYd@}JA34DJuCf|^M z&Qb8JM|p49bY3m`$WTKJyZD5_{-an?^83P?JSlYONLZop|A)Gf+V}cdOmf88%JK_u zcLp~c!l9yS(g$xg>JQq^-K%c3TjoZ%7!O*}8F>h2e5m0={0S=Ul05NU2-4+%0eE(P zOF9jF>H1h*-3HKe7AC`xE2!u-lZFqsE1wkxjn?`6e+}y$lt9P2K zbP9Pif_LKL|K%omA!xyxpBEPw?D7B$7-X&E4*o}P!3G|8`>{JJMkhC^HW^@)IoXl? z)(J(lDYVrwfn6sh*I3f0-}AbGMx$;rjR>d^x~OF$wPM166D;34g}h(K%9K!)O$!3& z?|sn3;{xLAn!4-d+~6^koP>rIJl@mn9gz{cwTA7c8j-ShcB&=7uA}lHs(ua z3%e_kiD0|7u(V-4*YW}0aDhccM6klNQ-mp`H?Qoj0R`P)_POQ*RH0*X7|~<$OX%GL zp8xOgpMxPv;`i4PsPytV(H8E$|6QWq2l>9gKoy+v^~XC}gi==H%y^yjuAyY0JIMJ& zD#Y1w z^Q4UG3=2%=h|;vRAo1%OQgZ*L44BGuPF$wCt||m+qbi0=y%YMd>zpgQzY~!{rv8^C z3O`XI44y*b8d?$!%9twk_MjW~_n*ZJBllafKd9d_nAp5CYEZwvlq+PD>NfauF4}`` z{&_RY-nkUN7!XDhNm(KiBjj0|oyDQyA)QLZr`h$s8-#0swZ0_hQ_mW4ujiOe$Hp)O z+lq3O087v*3{$0bLaypI9aYVIZqK3+=dBK*+;Z;v&#js>*$Ai7Co0?&?Zd8=&w?9N9p~*A z?|m`)8@}9IH3>%xUkz>Sx;^o~vQ$+qlCQ5~v(#+36A@6Tabi ztXYJZbQd#%nmZPwas}t|r^wleifyDYcnz5RgsgKoKwnPwLEEU;eycYRsfX zj>(I5Z&oN6tDY329^JE`(7(9*>!kA5Yq43O?+M`HRj&1*Q{Z)*=ld_=wy0g7KS4Qw z5Tp@$(KCh*jqRs3CnhFPT&3ppmYHH@cM!s`;TjFwD7r^|;?z-WK+1`yjtHHkVTuy} z)~WQaqW6&ueSBI>F>sYmHwILwh?wFj3t1U%Ivxxj$y^V^1sV+>_3lu69DlLvAuM&|S<9`Mi zz|j41-+4J|{|P&Ucq-ROTu@eMLcmeYv$3JYZRytIuOmIo_iLTh(5x`V(m7tN)N7u~I;KMl}W1M}^fl8j-X@1mwy@#5Z+2ZI@2RAsU{ zXt8kC+&!z*J4zS2K0jjbTMgSwjD0UCh3`W?4{KI^=AXqQ)x>n9G(!OUlFG_C)fR*YR>1nXkEYf-~>zF+lf|lozAg%7{1? z8YRgF@_{eOx*)F{)D{H*XV<%jVf#9F!m&VzABoRtyO{jY5L3^k5 z?+3a(9SQh3*j%b=C7Q08(qAt}=DQ9JSZVo-U?+uC8a?gk8`u|Y3t9Z|K-L0WC)J6Z!+XS8D0 zDm|Nbh)#X}ytuM!3Bs`#0qExrW`-WOwkxX{h7ux(k5>G3nT9aQgoVo&UWLBLI;xMkoDV1K0Qh1$@OG(<3ubB$l1$aS>$eaw45C zuImR9T)oOag4b_f4b+9O8DyFFQd_fzYaMCfPliQHWZ!~19Hv0+j zXXB)5b|}}{6~eD<%7g7et7I}z$#J)&lwN#HUop~56dGW@1c{ua5h0>@AN)cQyyf*L zy@zv$5GhBVl4b?0YEVf{BQr3q@R2x~AYzn}K|)_}hZ7@sFc8$f>c&kni;bU>pW^eA zgL^cwVVe9oZl4O09nD>=fuV$k$3I|%F%bq_{A+b|&%RjVxJMKc5C8l#ob`Dhy*L?h zn0#n>)B`yMN^iI;VG;_XZMqXL9l+`t_R_RHoxD!>Jy`A~ir%8>1Z4-kxs+9*fYMy; z)esQR3-iPn?|*{<1&k!)z4=X<@jM@eN*CPDEs|Dl4SmKfeZ_QLk9bM6unGA}48<*= zZ^l<5Hp$r0a3!tVGN!dtI+nikbX2^~wjYGI!^`WK-+Lr8y$hqghnyyN(Lfgy zr%#>%M+My_UhJCtLTH=+8WlEJ>}aF|{P%j^!Gw2rh-&+G;Mx2~l0ThZ8+K`8Yc};o z)m~QJGH(<4w3t6H@OHfqBRX>w^{+v1{9MI~ZXl6O*sJfkRgREaQ&CwKVpF#pQS{*lszYu(%yGhc`=w8ARtqPgy=o zCmKSU$6LR8MtlS&i`%lqz%<>ToejXXbj9ei?XKQ=y^wdi&9YP&5IE$I18os0Q^ ztfzTIM7yC9r<4{@@FADsO@xzA>Dk-NKI8UgaEbQlfYCnT*2G*LuCS!rJ8X)$X(Vz= zua!7Ec`O$dVkonmsir@By%u)wmL7kGDW)gLa2Zu;H{h0!%hF^ zs*I7&j0mraVaS@sG~|4eDxiBn?;J_6t*?O6@SB@#U-%@(AYwENeDZpR(+;M)FnKg% z(%SGfVL#;iMzQKpO^DEe4zAaMAnfjjkT=v6F22g6{n!0ZMc<>+$TnV0<49-G4U z9vfWp)VcvJ>FqXKj!NwN-c$7X|25*_iJP0)@qk#UESM~c=Cym@a`q%>a7m?*V1}?8 z44A=r37ZsK3K(nNZ2N8v6p>B-_vZ!1;Iriv)6(Z>U)3-$cj>_b6>&*F?bGnw5;sxT z9!zQ&s$X)o-pjh6=d<_JFc2Ho%=bWJC>gz&hYnO#9T&7}-XauglLJ7YKvoyHyF5u- z@t2JODp0Kqft>{cG+Yijsq3L!cxjfwW*Iz=T{svV8SP!AJ^R!W2Q|MU5fUAjsU}P_ zS>cYpHwVj=*iZmr=4nQO3a`vGu4%XJ0jJ)T^KAue`~jhigw5V18EVNg%M=jsszy|c0LJOZU`>dgGV*GCUp;p|Y1<4GxR-CTIad_gR-$LQFUZ_C-tX`mk6=oaanB^N& zZY%Il3RJel9CX$5-D^Tp2`g*5SFpfzMIAFgOCQls3LRcyN#+HNeK|}2O+uet)_AY* zB|c4g%rqJM=Y6v|%&xVA#jL?ed{ zZ>v+U8oCE ziz|}vh-MVai$YKuRSG-agMqAMAO*Z7*P%*OIJkUva?(eRp=DQqz@=$QBeLT3T-I-4 zQ3$rwoe1Ei?P|`F>F>^7!GBR35iDIK*(YwdWuRTu+p#4?Dcgr1rPLxo4Raq-1HfjV z$Xzg_7$o3ZNDIj>pGE!$$|Hp9pB1J3s198nk1X`(ar}Id4A~g5)YyQQYSd5Dg8G%}=b8FbdP2?@rQt5x^uy-gcs{J;W*OWT8+n<#_j% zl5#+MX6RGh#4*fJB*$h^nAVW$YzT@j8m}AW&Z#!LSVM=)R0*xb+%;P>doTJgqNfdv z1~j)Pts}(;@|1}h*WeF?Hh%`lP}XL;fHM&6ah0|*%0pkKT<2fQdTUa2_K`BEi-Ymk z)jpvK#kJxwr-J~!5z3L=UF=}(YuQce%Cx5E^j9hXLtvi;PpWudhEgO4B>NRM14ZH8 znbG@E#uhQF{wNhu;u>1KJ3^TN+Tg8~nu3+c zj96eMcoMR}YP)q85@5S~onhzU+aD0&8w?2Y!7-vOvir6n;_a5xCpdcO!!bEHc!0QKDDiFt{76^M%%kbwh zYsk@Y^g93Ez&5NDHBWZ_^ah ztSynk;b>>u_*voiSKQ(E-K_Id%;|OCkgQhhYd`k`nBL{Zt7)P9J+aQt5sOkuZaEvRtoI-)qs`4-L$qj51tP zp+fk95|XGBm`-}4>Taz_3TkxW?z^JER}e97B204O1%90Wh#=-&4|vSs*Q7`9mPGrw z%omi_wQ*NN*V&3%8uw6_x#@?Y1g)=^yR(#weh}XLtutOf%THJn+Nj%3T)jcrY4z?4 z;cQvk>~9ZM%Ix6 zI`2sNIwh);qVK$`pkAGbA@Mv*qvM*&nfDZx8@nAM;!4Ml_Y=EGfR_SVba3K&KNsS` z{{pQC;1zbGd$>CpPp91_>v(ISDS1Q|G;kha{H9&lY^#>_IzBl0*>#NZTY#|D>-h<# zxp4}IoMX4GG=Q-~^#YV#c6$Nd(CJ*;4qV`)LNpe7k2gLC%bXqUgz4D--*|t(BZ@Y^_I##g;!R zlqNj-)N_>&B^-o`&|51z(sk))QH`K#-UH~#^`Y_nxBUc9KQ5DJR;W>0-*!%%BP-DG z6RHG&Dfi?)#py-p3!TwfXhb$F)udv4rURRe7VlDKbZ~F94d>W*5U*ATzbbMMF)+FY zHM{uclwGc6D}mYM(NZaY?c-amFI#kR2b{sNv>RgEZjxmh+eAq=DZ{SGZc-2hRGutk zdo_lR{(7#%JsFuc=GKC!5{8&?D@aEftVwbT1aB*+vV=|&1{_`v_S_G2?9DHY0~Wvi zS)HZXPhLXo#||4i1Cw}zu%QnJtu2B2K$RSSm8Nb|%%lAZ!!kac{N$}N87h4hLR)TmRdpq)f9LW;6D zL~YCGNrF(+&O<0mOC1rTYQc)u#=8>PV79v~R7X*jXSRU2P}$ z88V-M9<+W_sKe=u3YibZ+aryq_xS^SPJMJW3>i*@FfX#A%bc@W=ez7EUcfenbg?1F zm6&I#sHNyFEk*u($?j=>Dh}3#N{mL3GR$~py_hoioRw)a9hZ;)Db|(7$(3Yx-EAc$ zEuhEiSSMpDA&z(|vSsJ<5i+lNkqu#Gm}jxk75@e6Qpa*2fm3ogth>ml3^%ore6bBV z4*JH&Q&;d~5TH8v7 z8g!(1reOTrK|Xm250u=-G97E`RbRH=MM$240cd{j9GU0nrIgg*dv=rI%h^Pdzu4WGCn1St{IBf5Js2efetH;vpMvQs~rE4%Z%kOrG&pA$z8qtdoW z=5!I-<$b-YK30|$qW_$+_;#u)aOJJ(oJjr$C9h&t$7|aexGAB#F^KJ*+PK=>8Eq%I zE~SE!fu$DMTEIL*ZSo@M)VVgC#7AtErpAXVxhyrstIcs$Ssl~LsYz=GOWD_cX31g) zM+5BgZfdHMm)M(c$Y>(ql~?)+KlQOKs+=+dSwHsM{wM6}Sc`*T37)BHyHDfA}QL{$fF1mX`U}M5Jr{@Co?v<_i4&hKoD*@YWQPdfjQFjelkIFcxDL zbP|BN+hej&$MbcSqo_P!lY_`$&?c4sQmYe*GX%<9AdHn74E4&X)8Ws%5mVu_b~=VqvIXg_eZx|~E}LUhYfGpM zbw${MRU%KrX;VS|AK$ZK$Xf+po)9CAbdY|Os`W-RVmOtdx0g`J0rEm+=xpi--FTYq zQBv*Wp9ysB=eqBf?BQzkeTctpxG-l~8O7@tQ=YTGn`#0Oa?BWL%0hG0rvK*T1xo>N z5t-VrEyK;s)Bp|DHUm-uE%FKlE3~pzF`x=e3jZZM!-^7xT&O}n?uD5|;Km+!Bn@B> z`i@T)d_7Mui0hTa6fdzWtl9khAo~trYHbOq*BWLFd_zV zJf+%waDaL{I;C-#QFx#pu=hU!{^FKKT*dxHC!pZdU3wvMucS9Z9SX^IouBU(j?%7W zUJsepu9!$jAliCyvSIT%jc;Lh(*BtYslo~Zor1hFso>srR@{3?OOFejDxdZfnbWFq3+wj z3^y+82HiESX6;a14CHSur#?wZ(wEy^cZm7*STO zFLA+x*=US{zK<*(xYn+AUNV!$2u7V7xHKL#1)il`l|o&3V~;IrmW%a%@U4&UVuCVQHBKfP zH?|oA|VUE=c%x}xo}-{ zJrM?X#|QIz=2H>-g5L?=l_Ey%WvLU0Wv+pHV1OtN182LYg2^2-LkFNV4O<=IsnFb7 zAMo|O><-JLR_b~>Sqx9ODRr{9ZgU;IzyJ6kE8)X{eTf6H6g3j@c@C1&$kwB1rKjC@ zK@>(rM+4jZQ*soOPB2`2XbVlz>>8|kF=a2RVJk^3bfW`Gd;#Y>56@ygS~6 zF<^b9=%G(1jIxwGs&JSunx8-c8*E9xorNHrZ_>jludr|u4BYo@u+iW8Vagq-(e(<9 zW!w)r`~!2bo!kGd>d9uc`1{jSfpqlE9CED8L3usDdW``L%IR^!2Uv6;l}4f!%0VN2 zqtidxJuSN81N3`pv}AIf)Xnv=-8W9^&|~DpHS!Kohve&5=+*fB=F`Ke=!-*rxS3n> zKV7a>+dr;iXFvpCCn~Le0P|*aOvCVylIInlPVGXK z>#nGny)s9a7jyI@ho7Q}g-D#KO9n}C4}1`^YP^GYYTbwS%vTXMsSef!1N6=@ z4A{d$?UHkvfcIG^v%=qX1=n+nS0@x{V~@kZaUwlqA|RfkdG~DLq)D{GuJM^S(gvQd z)Gg~5!SiY5f}N{`{Yc>1=oepV9`mS}uMfem;_QEe6owW@s_YJ#BY)jnQzCZo|6~_` z?qsDkk=aJpqd^vu>J(1npy!Jf6M?-i+?dfee) zTe33+zDGCYv1raCrLfS6%nCs-znW(eS$3RRub6h3mo=jvUP){0=%tFr-jT}9;p5V` zvplCBjk7c{*(>UWh5Q%m!tT|cfQ!+K{8xAf??i{__?N~S=1JD2@;A|mRKO-b7fNri zd7DsC`8-W7flp4f{k4P3uji(AGk-n9#vax>yk+e^4lcGjT@%8^PJmE-g1evtfR{6!rXF*gJ`} zKux*oR&(`qDS8AF4#h4X0Hp@EcrUEAS)jr1lWG+ZtZFzm7!IU5Rgv8GG8-(SH%cDD z4=OY~tbRwb*bEz%O4LdoHTQA-b^d)CJw@h@H%adH=@GJ$4hp7?(f2?SDt#n; zs^$4Q(hYVFX?Jl%{^CuO&vzWX!R22myHH1|4U*yD3V9s}HoqSprYv{L3vxxbR}6gU zciHfA6~q_ojP9Z;nM;n6E(VdySdZo~VVZuPzPK*bww0##ULqZmy z@=(PYJ34|q_YeO=>1vW1QzCDtv%FOI`9~V90H?9DmHXo%*}c{OW688C;v~DYS=sI@ z0@Y`wuSxxe9rDh zSmGd!p#l&SKd`VzG|EFZsp0nX!Ze243ZQJz|a`TnJ~fW-7=Nx zjMm`HG_1VmT(xASOm7m7<8Y`U=|4rx=d$7NX2s*vpMNpWf?)bl2GuZ+YEFOkhK7`X zLZ_JDc??Ju{FW?i{XJ~F7rxu#E~`wRX(%M$cGfqhBIQ1bXwT#+X9qmRcTy>c=~QD_Bx<^lJrHT!p*o4KVf${F!7+r;I?3zzs!~v z2=z>0WS5EA;^p*FsYP7%MgY-wGd#}a($E;*7Ibtd{I>)T2ZdQ`)`z9!Ax(Twh*mmo zL*vwrT~Y$=SLuUP6TBAHe+mB|+TJoQjwgy1L<0m57JP7b_rWD-aE~Ct-C=^eySux) zgdiDQf&_QB;7+!a|9ku1?%S{XVdm7m=bl?N)AQ@8?k@4<6&&u9K1=JU5QqE3Bj@ki z5j|MKLZPwn+%^iOs2-NXuHt;tk4FewM_GV$DBP=t!s8>QojfBX{0djfp6oXW6`Cgfs?p74baJ`H(Q~! zKC+LzoY`#UEvWUCy?YV+Hk2vTA0%zL?Y8bedHn1@D)=t)t1aqLME$z6Xk6~Od%ool z$gb`hQI{{d#0R(KPvZ#-_MiLgmddB&0Gu|54%jyJj;Ote1sh@Gep|5c(1HSU4~UD< zaBWv-MGH;{OJ%|pv;}@RNlT)yVX=?2WomnAu}P0z6N@`avE1yTq|4B+7~Fl;pU_qX z2M@{~vB9H(IoGfiAxo#}%SsyBjExR2|iJEav7n6Z+_G<})s6}&^BRgRLy@;Z( z`wHYhJSMk{Ai%$@yVjG~)UOW^7`_9@6Vn3>v-U)&XO1ie|3Lm9v zvWy=0pmH|2Z1``Q7%tS9*Pp%>)z)_V!@jip-sQH9y}u4j$&?Ay%8Z$|05yaf5P_V3{Q3YYFSb1RH0WQ+%!hK{&Ib(&z@9kn%dDJFwO8A^p5hKy_F7Y}%- zC0JOO(8{7gfEJ5$=613TF##lXv>OUSFb)4N7W=2gG+CrWebvs8C;Om$b28llY^>1y zB3pfUO2Omc3TSF>LWo9NqkLw2pZn+CgV&*V45&0mnjv zq-|X%MIQw9!F&bh1690UdlFT_=WSdS&&zw=XTHQ1Te+J0n}!!9NEPYfZX)5OK~4Ix z$pb;(Nmx<6Ihk26#RVf!1#s>RB@Hb9yt{T52RPEek%HJnTYPPsZb1b+SMw!rhndr( zMQ@v#?w@lvE+tjQjW*Lcds(guO(^gAGctCSpEs=^#cz(ErIQCVdytnPi_P=P_aa_d zb8OALk>9f_Pa-F5Fb5HJ3sk)ihA|x*7^0CW7ARyj;+Qw7-pJ#hW7E(OAB4Kc8@f;~ zDLeu@u@sunW!o$@@7#+lk%>HhSn4u2w%ZPkpI(ZlXJ}dvB?a!T(lh9`$Wq5wE*WoA zDJaaHgdMB3Qnj?$9Nk#=W(xsNE7RPiQ?hn~&N^QxS)asjSY2gp?UqV*r{Ipe`s$U!W7sCE4Z1^7Cm;nYJ>J*^aBVmQ zpPpC4P!aDztO%P!uv_guPn1VDYRa46TW!gAs9DkeGiq(g#d>h&PPPbiTVfpF5CpqC z(jz%g{L(nSQN!4jV9)$@Qb5ulwDp(8B9I^)1jHa#+(*c&=&-m!=(p09zlbbK-QklM z(29kv^AA;niG(e}*KfpoxXe7nuqZv{iB8e_ZmeSagd+=0tdvnq_uT|-$ptaN5`FO! zq=|^h=m?ucFQoK$3tZ5q+|`2wK5;A+75dO+QD#2O7EGeH;H8kRs4(}$fw4_rfAZtN zce^S??y)$mX=0%44Ev!czvS=ge=QRsPqXhdmwbAHp(rV%IUrVyw-536@S7HZwf5!y z1C0UaSAUX1K#zIKI3eF^^5W~R@J}G{_MZTWVk7=Opl|dN8^|V(Aqa4E&|VoJP=*PK z5a8g|;YOZdIRG+qGzXu#A~74JygNamysLtpbRTevKk}r@6TIu+>}rn=WGK+2KsA0> zd@}gSD8qQWY^v-DrGC?wM<7m2=~OdYTs(6y=M5Wmf(^OyCME(N?Kx7qm)0Gy+Wc34 zem}87xYTdt)Lfu~2~4@8UV0Zt z+9W|~vlB;0O9+O;CkR+^6cgF%9#(foWI_HOmV-ge{<0yS{ExD$1SBvMZ+>|A^VI+f ziWN7`*63KkFBpv}Z-k*52u z4+Nb%^ieeU6Sq4m@`NQ~6l5NyOJrkGcgazjs5!shWDv}eo?_SyF4_0Smo5Qi=U?jL zW(8wO?={d1#%I9(G z*IG>0uFWpkRnPh>l9lAFl}+Sp{E_bGs$HboW~ z`SJZ~Duw=oUYsqrHLn{Zh0W;>@7kSB?f$foR~hEu_I|pR-`cB@y2@+yGRy}Pcp+`7 zSouC%rc_TPjivOhqKa%NT*ZY`j9W=A#Hw{nxaScAt8Qduv4ZT7vMQZgVKa2j zMP)RSx!z?-whb}DdgW%dA4;u1|D67#gtgvfWou1G;aI0s`+x{cKFtF+i+Mm)W#98| zUg~cAY?{Ycw9pF1M{b_pIi{XEuFLWq0q0H^1~+m z4Rinkua-^u5r=nJ`^qK_gK<=M7Fe(wS~(1h35Z;f z*A$fdwv?R?w+i~B(%Ac+YqM`#8AH&v*<0>eg8a&tU|*Pt?aKEw@S5B6$e=s+tjzr= zi)@ngDtmt-4T+Asdu9YUXz+p!d)6D5MMk@dl?{B#^bJL~uiZlibyt0jVC@8g8ODgU zcB}+^8G~7nIQawKMS~Ns@P5DeZ8EKs8W6q8)h;3)@H2sblGyTncrMfaMm+XG%W)=J zWn`lzsK)n}6gaBhh@AtxpGIJHnMWLb15tG`h=OyH1Hje-xwT((G1!}-j#&G6zcFTY zG2pu3R(*k`)v;J|lHo%mff6J2%P4{1i1-d~vX-+;GJLM7K;R)W(u_Lm2rNysi2xdw zh|-8SsF_4nzJ(cSL2AJfrja>BHFF0hxX)A|S0R=eiJgRbgaX%G03>dyivilB84=HR zN9;5et=oY?F6j{W+{L*9KJX@U2pywCV!gh|xII`HiiRY0_{$c{L~&O(@D6J2&b~2g zjn%J<4j#4iokk=^|AjyLMKzkEp3r`XD+89~vo)viFh8%yQ09Pd?ROIh!X6SK8kqKy zs&l{EVEsB$5tvB7c+}ke+3tM@8cG+CaC5RmR)6UiVe2 zlzyoNixy$SPg-)~p}R(1(r@pFleWo~Y0+&j=Gw$fA~5d5avzehKEG>~%8b z{2>dFfd!d-rJ_ad%|WHb;_^=dlN||BV~ulvZ}x$LS-1SV`yztuyPSt^zHFztKAY(M zhG15Dx2>vfJK4l>y)GrJ=YUSM9|YS4-F8mQz~$AB>C1g~%E)~QrqRn@4%$*wB%9?O z7ks*&{Vw8}Rt%fbT@LeB3gLslw+YzJY#+F__Wf_yH45>i5meDJuv$!Hl%neb%q9pk z?>SlUGE?d?`3*ib_zkV-QSY@fdQL)lfoAXFJq37g&nA>E#A&PKo5>o9oXLsSyb$E! z1Kvy919QBvbW$qWd^3V=(F(blW27N+k8AHwPoTeGmSvnSdU1F!eC=`lHLG#0)4BdU4oR<6|VEPb^xJDLcNG*U+@#G+*HO01zIhcO)L!c69H73KtfCdt7%y z#+zqjIz5d_%*khJIv=u*?8Uu}jRVPP9hYP$4uiXH=h$XL8vH?^M7Jv2T&FyUyyz3? z(d0o|w48X~T6n2>;^(E;4Wai!WMU9!h#7P*gQ^X=B?-w4M#jAbLm*8maIJYHmSon{m8DD%f2;#h@kCKK=sKoNAGE`mu7 zB=rllx)J_WxA-@%%WQ(FV2;PjD9-Ap6ZD}Xf=f2r(#`Kmx5H}Ta;7=X{G{4!A_2^$ zkW%TY-LPj7EX9B5Z@TPs!WzqO<;LTc-SwVobkT4udynnJX72Ri*aPTCRhv$z_gr)S zLDCd+_EH@bXMvqK-gITkH1}UyhBfTr>HdRWcYduK?_Hou0HST55k@K=%-imo+)d0T%*V5l z-fREg?EeV*h|eM&DUEvn*q@JBSh;-tomr0z@JS|0qozIg=NE<6ec6a%_*b2An)JxC zvMD}`#BaN)TjlhHOV0O+A~lhTTwX?lS@nBVj%6iadMSH`-q$le>aZJ$-O}PQC~;(P zEkcXe5#I7aXWQlK53YM!S<@{-{%#6uFQAnEa&;_Mq_-*uOIcbj!y~7a<){}FJ{?Zw zkk}U*yl(ia9%zcjUG&Y1_W`kpXpx;8_d_BuMOOJ)*7-4rEY!6vFd9$ptBk!9M0QQB z!q;i7YpnC`*}*CTQX*z6B8XtcFCcYP9=#ZmmN!}TAbE7~HtjsTx~*)Cf@^7cHb^zO z|0j!8QXC=2L3)P5TEhhgf|{mwh>l6Il`Uh50Z7#XkG=j!yJCDW5sh>$TaEy2I!naz z3>jhF9VOOGDV9H|NF)KZSc+jRCkYE`AJNcW(wF06Q;CO7tt0M|FHlYnOicQlk zN6z|g6PZ@_I?&F15-}Cv_ssZO$E$)jp0ZvRF~p!&LQ-dOcF#?u`L|Hidh3vVkqdBf z5LTD$3sN3_XS3uL)X~J^&4fJ58kH zY%Db=fRIen@~3O)DwT@d1;kA^lqvot47?P`f&?-XSRXYRfeqEg@JDMVxw7foq!ek` z`PTCjM;`+t%v#_H^rXl!0_(-2j{zQagz*y4$6%>CMrJ>)^MUC{@PUYme)h{B&m-*D9x=f$(-H$B{vy7KadagcvXK{B^?7K=L@!RSq^O?xz&J^?$%tNTSw-1B`GIjoIq(Qgk#8Q$*o?i&72QGem3odg zwy{ZWdUs#<%>3I_iQ4CAHjdQt@4>CF;ngX5gEfplMd2UM@IWj(iw#MYrC?d7bY^_;yttlTdiF|5!E zdMv7`Q$>GjZBWk)Wms{-f&ZkQGgAhSKbzgZN(Z7`8pe{#~;6MT*Ro$Dth^%S?({zobpPz zW;N~!uO&gOMrPVC@{W=9nUX1dIX_~Ds=yWexvk}=PNz@6Xi4b;yP`Ji@gb@RCZ*W_Rd28 z5t}gdT%4EMb)$py)Muq*NwtyGvQI* zj-Qo#cHO4C-x-Dj@_aH~aWeJb0sbpK zciRsi*^f9GnZ(N*I zm1uqa7aQ_4mBwbE^Hs^Lw?sEBTEFYQ4T+7Z6!?21viUF01GUu<{^?Sf4yPR&b(kuQ z)8aAHs zx$Q@(7C;Jd`oIEt9C@~QxY)t70hQbeY7>d*+< zsK$JGFn>BVG?Es*z@bp9=F2qLkXZU})$-Wk|LE{mi2naxeId3sHwvKDvmZ z`pYzZ-`R_UbOI~%0_KHs#0ojEt!Oix7Ix#ybSP6gLceK#Kc3RVb5^%-iI|-JH7RJ_ zd1u^a)-7=(UtYoB%QdNKD-fyw=nep;1Ph49v?t_P$i@QF5?K>nM{y56#Pe_)*}ZMK zJA6xyyfSqL-Ld)kjvb;8&JNmoH>C2hOHwps{zxr&LhAk|#QRZ|*WU_n*3G$#<3Kch zsv+%J=<^}%dVI4Bj(vr3I|@U+E!;(PvaLk;>JjUR@QDo;!m#n=n^kdRFM6PZ|_|}++JVf zOsR&>yzc^|o2Sx+xXMW9mBHjdlK?TkOtePu(8Bi z{H{|6%iVUX2c9>nzja(zAE5(g)w$i zAZx+$_WR>_yAlOqrmZ?@e6DLoc<)NFpo!H9FEDInt@w-0k997J9}Q0wJ3_?F7pLPyRdud!np9=+bmOa z!4^T;&(0pdNyCN*DaolCpzykok+)ezizS`hvHi>!;ox{fY@Plqk?F=fUJs3p^>5Ja zln`IwmyGp}8*-nTYHV~lPS+#sX>mCMuPeHlY7i_OJH$qgb2)2$1@if{GZ90u2 zh6rmoRyKQoG*+d+Az0Xv$t<`Nlu5D16C~$G5PRkjDIJ?ek&_K2yzs9?yT76yk3Cxt zwZRzzLVB^S0Iz+p@Ow=2U~f-BbEwt(d{Zb@MLOp;qAuZu0K#}I5+~O-;s%MV z_{x+_JylVwjTp>7j?B5ula0qs^&%Z<2Py9pcs)&&lC6JBc(LMsqQrMfHF84WaWwAr z40Q}xToD;TU-YbH)^s;CO@I1{P3@T7LW%$Jhejpq9~QlAK1T)q z3F6Ql57hSp6CE_BNcE#}-#k{qS`az(%sq5$tdUC@2=bl>d>QIN=H7}%Rb3j9?XK`y z@xurgCXG{e3y3L4VqARu(sq)5TG&pU5(upmw%ZP|&vttkf%=-veEc%|fA+t<6P+wY z`#e_B@!Czht6GK6bW+T#-E#IwM=nOMPcJ(^>t?sD`eeH?k8sU*OkB#CHF)fL-g>M$ zoCmz#{6FaEh;HYr-OEn&zo$gqYys=$%)KfId6TN=0 zou3V|+fI)Z)xL3vICb3%+x_a@O%s}kZ-siXK`%z1DS_-h(l!whac@IME~6th?u23W z4H}`qBcbdX9IT7wTO9UCpg@`OU*R`!ntnb)Ks)(=zqSx6KYM5T;pqdi1-!Lz-ReY6rj;T1un%ikPA=6d(zGc$6vtOqCY1$FvYRsIrFxh#M(uJY z5m8?ZkBZ9{zd7@+4ZSAR=v7DE)Nc~ZEyeNJ)8}nV6*;z9LEa@=+V-z3pUvm>5Y@xN zbKn)|{B&DBtEb?^!0`e&4uFW_zYZXR??OGjyyC{FshvU>ui6q1TB+ybJ%d-z+k0&Mml*srN05FC92bfn$?KYBmXa z&z4ha{R7Zs#awe#sDg@&naI)a>q_u{z|(nM**D(+m-Y|le$O>v7*D(|WbL1A7-rV_ z=iJ2LInoWOF|XRFGAHfMZVGP6cDeJ^LLb!smnb!);jcXxc12);^xino>`Z?`&|8Gb z|K&fwKb9@uEQuVtwO7|SO@d$syC9jzw^#x}N?X!%_PH$^*`IiZ_oJo=!-|vwnNVMc znw5CQr`|c}?;yJetZQSlH?za_V*dQez{yf(Q;+FfAvI@k`S%)^kqYF+B~`ikECS!O zrGU1pferL)lP#^-gY;$<1jY}=asNxg_#EVxAvRAD4WL6fWp(;ZSKizv(4 zl#6-4b04XQ2PqbpnU8Hudd82hmg58_kB)y)2aM=bLCS z4u+;@mRz=@{h$eGrm1*H7Ey=%rZ7n?O}10BV|d1Rtv`BWt6975*{F|7MEh0bp`CRb zxGXdd)SGlHYyUw&{oWQedQc6`s=}IbP4#F% zJ%VvGv-wAb!L|`)X#Khpld6fS$4BcUNsE7-IeZ+KRiw9t-`gB=CY|P>7(C{d{un%K zs14#D%8DANC%xYP*_pueZuHgqeO}b;-*amv55H|2{nO|zlB_8+p)$+b=%}^=b`#g`>vzI zjX1FB;j9d_cATq<`R%NvzTw9_ermcVI0SJBA_Zqvy9j)i-fG26CA*Yhc(=w2`Aq#t zs0crG-n0z%!it_*zs_|cr0P6H;#pc(kh8KymC)~(A4M!XwN&wJt@($TQ^Je#JTWZB zf-f$R*-3Im?{KX>ZS-dWcY@1`;AqW>slz9L|GkjllV!}~OfTuwDA;4U@6RvV0{DTM zG=Ej5vX0)i_nML|U5po8>gdl?GEQgAJkB7Js__~kK{Wa3zbQ#OXdcV{=4=Qom<57= ztd9JbyBQab3cm`!|GaGns5lPqhqtZn?Bpyh+saA+*Z5?$H%HNy9w*7C5~qXcgE5NJ z=xilA!=AoOZs;JicXw>oy1i{>JLA7o%Tr(B)dd2nFyHa2Oomc(huNV@Rg9brGLWKS++|Qr(=Yg{)AOp za0XXqR8KM$&+GC7Vr7CawT=LrS&b!M0-rv8;Hx8KNbyryJG37NKEHa)?Knefa(JNT zBcLy8n5WHk>8Id%AG}EV3DG5}+*1LU62&Db5>4bgC*)$wC^a|?d)9Z7?dwINwsz_7 zZn?2iKg2l^YHc;qu#NjkMngZ))tmh?preZT-k6Z5TPex~EO%H)u&a%Cf}~LMWD$hJ ztvc~TJF_bPN9*~yrkDEbP^q7^n;pjtf%eU8krXaWgunMomGG|eU>7;*ayI^t&BlfLMgh;KqLyp&$An|QLcUpKQI zN!Et)>KWG`6fN8Zw%%;zS|f!>?6F#QL>(h`VLY< zUb_og9eaZFgPYRhANQg1zxtW$w5Ia|y;nk5r9dX>m7A#7aNk>*WqyvA+b?uN7q3Vesku0TPNrX)+U6b1X?E3 zKhQT`iBz5|%_)?WfbV`f%V^pYqkEPU7_7p|hiv35siRkBXUGJ6MU_--DVt!i{?s$a zjZtc|FOUoD(?-(uv8U*&a#`(UiWnD!-lTS0T(3vZ$2B~y0MhtseZy=2=NO*D&+es8 zO(rxb-_EJ?IB1w?sk)~KZT5sW(Z(Bt=54uX@Eh&Mn7rcyC;9=IlDx!KwED=!wNP5( zp856gt=dF;$?d$7z56zclAvc0hkKzlwQb>W^8MTV;DHFRfrt)jEngRb$?VawfQl4r z>5jCeV%eB-l?##<-85@xxpe;$7s!2V!V=bSruJ(ukGDleBvtD!>smqQOP=8a*i5D8 zmWL{Lz>_6SPiCKp3XziT<7!WU@P&y8qp|RVEpaN(-ctPIboe{NciIRYzt{^S&lmap zaXH2=q=t=B*^~P7^^skdqChLto=7EI#FgJx+G0MfCBz!Ht3$ ziE4?25}a0$X}-JF6g!+RC*V5xJj)fI6uU3IXmss~f4pYVc=)bRQ%tbxfn?vN^qSP^ zRxCDgT`S{JJXiOcdYN*5D#t=gT(KmY^On(Fai4IR?${X<)Ub&B#j+9+?;zTz<%GdQ z4`C%s_i1!J5cUbf)l7&lU>WX~>o@<>QsJL%%Z{8l)>lF?yw|scQgnr7=eKSM9wu1}x6nh|8YY~&SNEZV-;--JlL*;KcUQ=mivG+J3(G{CQs_yam z^4b1m6(Fb2dd!|*E{YUbeKdfSn4Uzh@U|^67k+#&)~n)Jy+zA5Cfc>oYS{|(lD)jM z>bUxX+Rpw=e>K9z?fBztQnqbd*Qdv( zlS@h&PC$o}f+l!Gq&i@{eB$xcH^z4{ShXVSx|C``)EO6taAO_MAATOR5OA}D5R0ah zDHh~thPe=`t+?pZt4=>=)d_a-dL?r8jY>Y&pfhBpot*#vKIr%S0>MaivQQ7!Dptpa z*aXOj8|-4VHFe~$_5=6Oi3pLJm>#Y@Sk%$}5l`1!{7yAVpw%3`1m4VXye zO6F#VSaIv1QnV%C1z~Ptz7ofb5L7^A-$NY;uAI4JWHPT#y!nQ%qAEkZj3L^;DJ0n% zpn_~LjKDC_CN2=mY6OPXk1s5t60pCX8M*4nYZs&@8h*`EY2mUJoyZpmn>l!m4C&36 zDEcMbuN0mlKtHxuj*y|nfF^>^086dCeVpep^o_++mHZQ*fg4pJ%cR?a8rN9(p$y?_ zjzArfwa5btHA}yTc!Ez<$a^idqq(jaM_@|srq@cm%yG8_BATlA!fIF*mko}84 zX}$|xr73F;4$~CVB5efooTe`%Jp!F3Qh@SHXnGRaD#1;cEW=eNTcG+5@uJF(@A^?g zo&=WiFM+xpDz{tz0|6B;Nzj7&EAiZ6FWENh8V*O$MLie!4CfE@AtUTz@7IS0SBaUP z*J&zAWX&IGYv9R$IEq83O_5Q|ox<^3*^!3@#*c&@FJ522nqJSo$5lL4R*m@iAn{(^ zZie_?ybIXOeX;o`k51y2=g0M7351CEMjfTrAA&^NK$rF>YEh2&`O_9-IQS;{+zXCD zpKWZC>P6TC^ZoDjS=$&aH`knZ0wfE6*o8i*&aI>pPkmE5^4--!Da8+th44|-;oX(7 zlGcOh$*}^%ix%9MA5nm*9jQp45)R(^gy!y8Q@Em>-UpBLd6$1MK!$Vb>x>=V=T84c zifurwu$?T1HY6{A=~;L0lz)1HLS+1kVjwE`jTk!}IDj1_7L=Qb? zq7X$s)tWfd>=F7z+*Yi!{*w|e217hm{pZouWS5BE;7MeNCOykJsue^_PF~PP)$WVo zK>gM0SzQVzq8YcJq9loskV0wtDdmcI%@B&8ATjciG{Jp*-%LA!4?nnVbJ9!C9bYHo zNlTKDI0!S9O+fFhupn0`Y10g=&@*&H zf=01( I(eg4W>xP55tEny^1Ltpc&Sdz9HCDCKpAl@ zx+HNq5{e$}vfJtLZG>+l@HE}&l@<@pN0ow{gQUzAdarGXTEy`}_)G+-UP-H(U0?kJC?vf~j6g3k2uIRPWlXgBB!$BM+f}d{w(nD@%?Rr`-qK*G zcYiZ_`(QI(`;TT*tE;+`EOOtc%R%v-EX`OSO|d=7CvbYN{nw3guRDw2#_rU#ho5&y z8l^4KZ@l!!ckT^Y*U?=1MCipP$r2A=No%&x=U#2K9Fiq&yfT%rk(_|NCdj`snCY(+ z_b{*nWtpQZpj2aWT{-RMt}82v#EiM34`U{-iVGN*4&Ci6qE%sJ1vqjWt$aOLRBNwF zFS$83%GA4b=0^(3nGOtaer8cp-$xkAw%HYbMdQ3(vTl^4LLrhtvHbR`#e`NnK7#{F zGKu19Bu_wJ>OW4(D0fz*F;L1%Hu5>TI}=@^iOEHXGPo__a=ZCUxl!s<@N~{=$aG zpi<~Oa-$_LT8!W>{a!)EEmV{62%(q|jxE>>MI`nX3NY|R++gYNjjrBl zXRC}uj%d{P=`|159fv@-K7U(xs@zNUQQU>bqv}lsX`y9Ir{EOq;{SeWoQTCG#B;m-FrW1}6AyB0copwT#LhP5Zib5v30Ih_g z!9_RSqD_C1E$uMMc7ME$a$?h<+C}jmh^IxdPl%`FTsQouMK}A4+8ff?Vh>ue*@$P; zHkcOLn#vc>&+AVVn!+=|D!k~CIAxo#X-ueeqyTZ@v8}3$hZ$P7X|c#r7bkCCokov@ zRIw>QUB{SB*<24SS4miq9S{cb|b93oB1)&Wb$kc=hP- zUAp-Un(#BwICot+D5GpS%2e5D6Yd7goue}QmI_l9llfJnSWL}M!)LE%8*Q|lwT6nw z2~qwy143X=LQq73OL)Z6Ok0wnzl*I}rI3ql+$%Z3mJBA?(8uZ4`lPRM(W-G>V~8mV z1|CyiU>mMdx@T_fHya*|2;cdf$s769L>6_IV3?J^$&mAkyG(nb?02l2x&1afaOmZ) zcr5~|>@Eolqxp5luQ|t={6OaaD$DtmpKq27wrS-8^!=Jwy!ILnwMsE2^YN$wm0Vdf ze(8hJ$NrgH-`eyl3K4J0bLmnhpMhVgS@;DrZa%@zGZ^9^2p3zMTE_7;Z1%EKJS`~Bkwm_WDR<1p@&8L+?o znQy|jUG90|*B6?{VB8@SW@W;UFh+Mn9C4kjk0K)09h!A4>2S%;1EY zpin)rMkoZ!vNr{vaD^I!rGAXc`OwFPED!Y}PrBJ7P&v?6#%=qe8E`lIo;v+VnLhbF zNm2*{V=1F@GX$$9nn#19^%Hv$LC_khJd&-$7m_j_W~h@OBw!BdVxuArI;IUDbGf4Zb2Fvp)%}>8F*@rTH{+;eS|cAGmY(qLiC)X6 zCT#}3Qy1KSSqgH68Rpes!mfRA`w^br1`%}&o8h!YN+=nVafyq{aQ`r5Sbpziv z8k^lS$MF5_EulaApieO9-JPf;tz#NR7o3d3;z~7Y|iX%G*QzfIUbx2V2*^OX_}KC zr@7xOWp|alSVzA@dZVl{=Qrwb0QQfHZ~--KGK^&e{`6a}IIn%SK>PzTZt+j=wnhA9 zc-jKD7#FSI`}dJeJKm%%u%C69f!VASYeV?W(PuKnZO)1>w>`k5tDqWv*eu<>l;FQu z@}p|~vG7?m3q?RnB-Lzvz}pGU;k0>8mDb#adEyp2WsjKm1(D%H~&^`XttDT z2k*D}(FoH*pT(qyrqFyT#kCE$HY?QG{E>9~Cf=0bBc|R`eWdVx;NXSHj3Z;q3-VW01!&NWHk%x3Xo-2{(nOIf876qdiqYf z3RV@Z=#amFkF_^cK9Z2Mwec5<(ld_#nO);muby(s1`kAZ%a~P?SMMmr8iaKhtbQ|o z%C0mv@u-Ky?F~99Xa-*oqcwiio*}H?brzuQ80A-YzrD>~iI(cPr-G z{DtXA{;q@H>*Nzi{Xd{soiTR$A3pJ9SBIO$0s7_ae~D1*g~fn;@M-_7z10-g^SXqB zYG+G=9P3Hw5y#190(yJX&?^yT-u*dR;w%1zT>T8|$t{xrTbp|EnE#W^`j2$^kDUFd z{32fLdOq|jD)%yVugp9EA80j@-SI{FZ+4oM1Oiyz~IDN^x_@0XTh~kz`J=UF2r3Tq~zlCa4f)pSL9q z`7ngic~`Uj@qj!<)@1wjFCX7vIT zCaiDHkLTg2AS^RuU$dX}N;Cd+-ZiNLjXAgSgOuDg)yByd;5;=q;gdxv+b_-OxpNDO z7rnB&jiJ!)dHa3wMJ@hNTT@SDr)x{YYqhl$`)E14FiTq2fDn1xp+re zDG8=%q5Uq1h;Rr|3v@w)xu~G5bb^UB#{Wo)@VdGs9;DG zf(Tn>padd3lVn6$Yw7U%mXS{6Nt?Tcgg=B)z(1RCKtb|bTrbOUZqA}671}^ajUG;7 zp6XUvKD5E~MK|P1_WJ#=S@wH+weQ=tp8Qefo?o_wDReD_3mVxe$B3E~;yA(ViD(iQ z2ui-1M6ghE`w(`n)~37VBA}q&3VTm-T3b%lIJsie_AyDe-`&h1guS8lv+&PWN$(?< zaba7ejqv?E4%Fso#Z#CtGp0Jkjx)((&rq%;p+T+`q5iZHbUC)z)0S0_P-2sI@UPZ9 z9s2;s-+0m#-FVUm$PoqpPFlD{KeTa8p{i&BKd)E`ql>t|Oc zRC5bo&}Vv_=U%2h6#8*`VYaN8>%YNj6GWyVD<%lUO@Z22aq3oKl!J$kD5Q=l zDl8Z$t2bL_KY5g3c7Al;8VHg}gV%hQbSn!=uJJ0Zd_7I!`caOiX#nl(U2XGD@UnnJ z?33AIElcccN-SN>#d+B=wCxWv95%mn+R7E)tyfhu$%++|4!J#`F)F;Fa?C83DNG;G z_#B@!uC33~A$xdA7^pNVBUj{vs`WzMkM~)DPf9Qm&%_s(SiNlx<20+9gdlvK@7}GJ zPDb)g4#txZdy$YBXzbf+!ezY8e(#9C|sHhlHG{$jw`Eap^rr&$*VGoX=iPnCzFDO_?fSL zJ7z=XHl$efLU7u?kiPH9It(?DfIb#mL6^SIc&s+^y17;n9?qa5!rFY%+W11p;{%c|B@BUyC5*6~ zaFvN)=NlORnL)RNl-)n(S#K`9fB%tWcu@ZHN4R^HQRJX&g8FP+BKFgYdHk)pIKCHv zZeNP%x^C09Mf7~0oGC+`uT6PB}2wjC!n5#Ydqx|dTc`Q zJmVS?YKEzLRJ_P$iKYIF)hys6(S%Mr)Wp8O==3hn1IW~YNVMkyU>D+-zlrQ%^?=$) z4gS@JNdPUJAEWjlNC~mGK*7+vNfvAqlsuZwx6bab`V)9N9p9Pp&Y0h(17XBAM-0I) z?2}Y74)R$M;xf1Mth{9eVFs3G_MMZ?TTt1EGv;eGd2sHY^5S z{aS1OHY{Y}`QXC@c;&=1}&Cqyj`o8oCfkL3eh+D|mA*jGM?jzAYgHqGnegiuwZDkiG>p-5)NF{FzZHQLV~G zK@A1D9inGB=C>G=5yT+@!pBL%`(^9=BzG;F_9Ph75~cJ?P;8#{dJQ@?_|!D|&vT%T z6+h*S>RSZF{ebr}9FPhkal)Gz88^DX8`?`UxnM>m-bo>5-r$091E;CuRr{H=9}o2$ zBrdzTg*nz}vRMLh=Cs6pYUUUa;tH;>(SlA@17TW0XGf!-4PAC80RP~|&>)}+XrDbC z%_$fsK?G}=zX1C1x9a@>%}Mv4%oMq)nbc*su1fH7uaqkUmfeF0!#MDwY1YHez8aY( zq>=b|BTV&FaLa<91Y04&yz^BExdh67WBQZ8G8R}7>Beu4vaFWzHpjy%z})^r|9l#J z8X883n?!7x8^%S+=;uZ4tb2ZM9QVP)(I0B&-TLg&f|pSw3gae!fU%gvJcj!j&sNZ} z7m>!op%;;>65#E+5hpUI?E9is)Qtc9U$f=ei}G>zBEoy$;^)eN^-{N8)>^~a7ocnC zwX!fzEO6E6xBP!m^_5X^G)=gRySu|eaJK|soZ#*RcP9jQcMImFsJ*eyT&U%R{>kAA9*EmRf1~8_bH?vE6y_fTow0BS9lN8 zv&A|);b$F>XfuxH?DJ>IWnTzANkWw4@jn%Jzrg=)d1&eSRy17Y@yB+oAJCN=Dgi;Q z{A>Mv<}1`@>lGh$?oJ zGxXNFsf2xHK2o`(IFR!J#8{4Iq%J6Pl4_1nzO$wU8Dk?Llk0J@8)lsSp|!fbp)kfh zIm-M`gULY&!;Zj8)E_LUux$KR+72vHbUwVs4`*d+c-43E_lJ-#Z-4qEl!fvWE?0Bc zPJwCmNCwk4f17nsxRmkO)_Z1SXc;J4`-&9yj;tq;#BJLn0X@5co%}{0irIpbO`~7f z(c)5up5C|#%ILrMnRahi>$Pn<$5@f6?mPXyX}74TxE3O|hG+w(wi6T8Ce0&{6f%6& zC=h@WMEaZE769|hhPLM<18kwbf!w3AbZJrr@wBc7>qesqc3McH%WpCpVm1p~D0#W? zqE|dI%b)M;lH!Yd1ae|kHBX|?tv={2ZzMNL*i-(luqMS1yS$9z>lq%6m%oa19Eu~_ zjR9)>%u4LWcUAstv<8tfoaIQf&+;=1Dvy3%-$X5RYtq?#jb}xoscGn5QQ+bi9F3Fd z8pd9rBhBEO6m}23>x|N+)<#6IzR5gVQ2}OS=bdQoLD3^c)pEll#ZsH@cIWBynaq>F zt{MFNLzY1M>GP!0(l?(WBANU*D+Ko_xVSs_{Ol zQ>u|Y1IbQr;o9aONSJcd!wcqB4_&?J-bw`^H6qDa<)WeR!kTZ=Z{yJ_?!QsQ-!{pY zi5#2-&UH%|Qab!m7J!Q@UU3H*2wq*nV`9hmyXU0OL25;HOTZ=ey93X%thO-G$lx=# z|0t_zt{;NYu8D^m;`HkL*xO9fnp^EL^d0*%$yN&Dsg zfH}rTsp`=`B1nZxFZH6b}g~PFbF=^4hICAP+ ztrY3ze34HQUTA-SR(JHt7?q-Ka3u~z&+nu7f{|u2QrWm`iypDK5!h_p1QAVC0Y40-vY5(0O@HWy>P5R&<4{FX0IWFs2=A*%S!D!o;_ zQg6YEM8&Nld-WbafyEoW5#g?7vwbN^w`ZkxeLvikoDhoJ0N~( zLi@9Hk*{?a#aMBWd1XLZ^_yV~R-fa_IN`2PZq=vGUgvDphtl(QsbV-pz{Rw@ThK+Y zy!)@X;QMvF?e{mzfK`{fyX64y@1J^{H)Ue<((HJKs-L}IAG$d(oH83h7c@*4X7}Hd z?QDlW%Y5wGl{t2Ci=tDrA$yU)S?w7fN>v^NhbPv&2@DGjFMj5WcX`P6mV6cNmN&nK z$rRA;p0qV3VTXk?5yA=#B#-<7F_MHW9=F_#Pi*9XjJk&wE;)Y=&U+?j2^2-v@3fPu zZ@X##Tp{GPekNnzX=i>JR3i}dtaeEIAG)pk#MeCFc7xHcd)DK}R>Kz0Eg;-cVp%?r z?Xigt7r38M`2OMTc`0zBNn3-sD*eP{>j)<{MFKE!`VYv5cTmH!`9N`lB1b0A27$@{yA^^@SAT<jqeJ&U4sBoze5PED~R7J zM@H+t6~4X|D*q4_bn$PX|4jgh8~JY@fY{wzAO4e`{|TGK{TMXpq4W)u_K9o8s;V&* zQ^C$NQPa)n(Ciei^F6o=pQiQ765{LjzJTNFerY%uU3_TRT@_+z{jZi|`|VAV$@}dp zXXj96b}_u}tAGzUFgmkB(ECBuC$0geWl8e!I`oV&F6aG1u_vo8_I4jFFaC|M=9z-i zb+S1L9>&&_s4&w)eS~@C;68V_f8Vx|bu)yVf6CedW@WW^P2`QdrHdwP)e#Y^zPv^$ zI+4!R^O}h+-)0zZudI8=nVk*7@(o%*-HU3Oz_Ddc7$X=tv`A{dwN$n+Bc5kA(W`#8#r5egsN5HyLBx%w!F8bH7cR)MOTQC47g<((_$W zYsHS~Q-i;Y93;MLswX8^+>G{uQY^9LW)ik}@yw*m+o=eTWDX50^PgEfT6|NJ*R6JU zjL9r673Mr&$Gg7*K4PNb(4AaFd79>vlO=`MM|!JLBVH?|!K1Bru`9j3Y>bNi-g{A{R-d>7D3jX#sFa0v zs*#13x$k1f2&<9Ry$gk+4_$l|CBJ{{hu}H2exZo-e90pxd#jHylYB9p_lAR)TynL` zHa%UV$AaSye4A`x@vXC1_x}{|cV4qL-HGeUt=<29fPz{}S}XTgojTyNQZm8a>Zr@J zlJpAhD9iPa_0PDYW%H`tt$ZU9f?RnUHgjZlm(j$GKDW(*gR$BU>Hb4vhT$aX2FNB zogb2gRv)o=hBbcfP)5L!#dlg=V+;nyO2v&xVxtKH-C(0)X-U1r@>t&c1b6@WuM5@i z-haXvf>ZBB=z)JA*>d$?U-&eWpYNT8smYW$Nf;$Ss3q_xSZR}H>aST=VyMD`m6AKG zTtJOX_<;zl9Jg9j1a_^^{CZTi|Bhj#p)M4`>>6w#+Tv0R{)Z^8pemLtWZg2?Xp6ih zX(bFI=X)+-l54o@=3gIYyI zc`u*U+UpswD`%33`uf${A%p*`T#!*~cQWIzkj85g8x(zmaj9+x_A2^y@_TpsSRC3) zdc8D6-J(@Tfi$&t_$GC@CbgnSPi(bg7gqeqYk|7%Ht9v-YuNh{r;bdu_Am#t3))gb zZJw_Yy1vk~)%5Go4K2F93^>*8DIT1hQu0 zM-8=kK@8Ka*t$rCb!lbe9qg|ELpIQmGMY5lp-hUC`{f>r8cs&-}*!WvLd9#1=LQMTujt zxcmdApKdh96pF)(V532fU?nlMqS4e=rp*XB+o;8lfLL+ySnL2xkBqJ#G%vrU-;TTX z?KI$pga!_#J1<%-Zp_Wgh>8_0>6M`fbfdU7H(8s^|kA9#l1+I>-N|_azM4yvBc`Y#nH;;Nd zEIk_0{^VKCH&@*gJ<+W7&C>VH^ne^$uHYIbL16ThwNk_ z+vZuA9|>gj(*w#@s*U2;hBX#R+U6-ah{)0jU%NajbWXiewG#9 za$pYH8ZDjL)0M(w+_B@S+}yiXix3@fm{&iH0TEE3Xir59iw)1gl|n0bcOi0A&ZY){ zbuSO=Mg2>rC_RKUg20N?=$ehixNW7g*~mh#wU-!3ixa={ath(smY$KNbDC-xCLvEg z-j$k&gYdQRg)3z-TAeMyR_y^kv7O8~J{ixmXSPmKzc#d#;wxNj(eaC=^dsm`4SE98 ze-FT?Dj^@2b(njoH*Z7$73<-X>J(mR)RJ7_C?&ip!*1e{0)`Wsa}8%r#ki@6c-n+Z zNOZ!Og80qtMQLv-#17nRHPubE1B&jQ*ief`?p#U$v zi8Dfcq;4%Pr-K^?@Mqz*5h5h_M)cn8cv~Rhqp)q@y*6bXjt`^uos|2@$&a$>){-u~ zGH~piAa61QM(Phl3?V3I;hi@!j|V{X9x@8Y1(Mj25RWj#S8KQPvRFsJLUVrQ6o(bZOYj3;AlrwaA zk{0W*)3%@=VLKZVeFDBe+_m_%|5*!;gvmlNLxM>lQ;13Vr_dVBVqsQj%WpyCnq-Ts zNA(mh5L2-f!N9`SJDHCw9PE0ojT{sU>!eRv8&dtEafG2SF`90wWeV_^RM*x0KVx9~ zZ?N3|iV?0A2gWaivI)W3q^ye1Z0RXa;w(e$tIs3E+f`I-&g>FX88xv4o$M(gZpwCa zQ0Vlw8Rai+_s2;HYW^Pgw>RjHR!;_&Q**>WhS#Pr08Ji%lS*Ngju~BPM(hTJW9#yA zzqh$vJ_mFfO#JD~UZCcdr~CTi3|0gC@f~&$9=q~3tLsENxzsh-lLt1-6-u7`!YCml zr1^5tj1nYU1!HtxtAT+?vyA@7s0=17U&UN4EMoeQ`m;2(*XE&%N-SV3A8vD%PGtoJn zelLz^bq10|(=O~4lEhLBADD{C7q~A_{uZ|X{tEAs9iW<|j++2~TCYf7BQebe-5`m< zMerQuRXRbBf{*S>;-v9?a)5iumAosQ!I)hqiopole<4jvcUZ*;ntPKqyk9$-b9GlWr|I6CR*}MN-?vt zjYEgH)+>mip~l~ibwE3QHCt{ZGV9d%gvct7%n3?XU~<8_AR@CisgfW&x&H_v((}~< zWZ?koOsx}6e1AL`3Vw>eSwpyw_v(Ha-qMV`S~%9zY`C7I&@`q6ImxDOl>)OSm5g=K zphC>dY5Z9>p3cHm&TSEi(uu8pl|os}5}W=)BLvitnG>(Kc_y|jOL$`)5w1Fp8Ie1L z5XCvRUs3x)*rNTh+1CS0vTj1cAd$gd1*Kd;JgmJB8=^$Tm`Y)zK@yB?2Vun~D<>fz zHOZ$OxRL3B8`nS5pn-AY0ewjOO)5{8S3KkZIT_VIb2LoW!&^c&g|ysPhg&}yng6~? zS48duUH=Z<+e=f`!K3lX)xC+y__$TzP|rDAlGCR!s44W$2`jt-8}h$-;p6lGsu&)z ztig!F8MJ}xV>QtLNeCayiP4|YgVC9p3&}MkZ4x4q%$hhL5YV4Ug-w--MfYM6oBO-g z2OY1*s4Iy|_|))vHLYa#K7`3I{(>eyQI2l}!bPD#3b=bdCuL1kL9xZ>M}%0bQ5e>1 zUiDc>L0!>l9P+`%K!<(DHis%(wcc$2S+9AxPhCp^yFvR0dDlp)(S zmk-hQ4DexA(}no4r^nNK+j1pFf9*v1wZbT#uqv6c@yF2JR5C_ean*2YSo&MlLb{f? zqO#}+B8aw{$}yq?2hFB>v|Ig}RoJlbUB_MqJwr;-IGbE~pYO?tyhvKF-tzASGB z5Ex7{1I{C@fQ&8m?`Cp z@i&X=p2w4D4|`b~trT5ROd`=7M_x<^$te$?_`z4P(6FaqgfD3LWKMU?Z%M}G9+PLH zGK9=WS|T90ZSGWB*0=R_c-2hJ^{`uF+b;_V9#-iS%zdR9Ps^4LW9AJ+G1e{{=o;2M z8@b9xv9Pa3G7O*A9XP>e>Y4`sQ{AuZ&-5i{9Fz4W^jzv!R8*0l3*6&QlMO#CE$xxe z9(WyBQGPAZHTn-9oN_IDLqL$V8Flcr>*DT4w(@zfs0-J?Z~jU`T0(bSu)Yw_nhM*O zxi`?<$g66Bx#mPA9y?7N&z4vdSoNY-wCg12DdNXCD#8pH_#Tc8R{ofX)u){U-vp?S zbFqW#(!~tGj#^%fd!Q5p(^SxY@3uQ@fbvh7e}Sv2Sqoz<;g;mfD|e=x(THry&*u$| zZ@Ph@GOcrEpXmH7oPDrCy`YtP)9ys8hT4j?GV@%#rANunlqnMB~c5`?v4vEdYNh1`4M@`sT~wGLdcFKTYk?3aWa7B0Jx0 zyqs%{Ub#l8ZCY#;>xJTOfoC+5AL3u+6^Lsz3I&kzq!1Id56kFYlS#ot{rdv<8{1yL z!ZOo>G55LmcaZ*EK6)ni+L9Gapg#-NV6sjAa(W z`=ci&JmN$-249e|iqV_}4#2BDeAh2_ZTV+oymBlvEN&H$nzn~V9DeYKE15Zn;`4)C z*{)oH8e>N)4=KO4TF&$cRKTY#ta0jlTocuvglOWOz-kyndg@3NqEZ*sc&TODankvU zK*>=N-NIHGmKB1^-fI4xX^%LYi1p>-a|mdXcNC7>*E-s0ARoSFbiUM@-Vwg}4|}_eJaF6Z83Ae8 z!50EZ!@XJmurmD}LrPO}J*PwlOo!zs-kL$h=6Rk|kU>cQP?M(kHP`bMf)oB9BI5qT z`qzIrGxiTZ`INlN!Bf7M-%_chzL(kmCl_aPPd2@(0;4|e?f(BLgJCIf@Sn^rq=raCj_kTP5%t}10JjWCFX|F4{Z8wvRp;ScLXW8 zz2gzn;QM%6xBsSpbKrko5l;TkW+Fkrw09lh>)(aQwfWy&hraaB;Qtf0KvQ_{q?#@F zjsl}LFdyH%#Sha_$9buxsP`kEk$A!rH&@(T))%zY;PJYQWEGzfrq48zzW-q9NB_=9$mZ1DE>RhU%YL++a)Iof=X9 zjv{V5?*Za>#qq9SpWD0ska0~n?o$EeWA7oQ7Wp1h6k$!Q??TZ3>?Q1uKe2I@4HH-K zu6;0|q4)h}|JMvL0y0B!xzqyH9o_yHd+_Nzrn|cWNQRB?k@=rn=iqGLt%N_59c=QL z-TdDDf8mX;_Rm4AC)bR`<_M}I|MYI&dk+qDbbt1-jyFStX6_o}|E-v}ITS~n-y`II zD+jd8`K~ae(dBz6{ckn=FMj^#oByd>EB-XOgVDc{r z5INr+Cps!J+<;DQW&9pUKTIq_miNy7@A^e2{O5FSN!#~kh=bDiyAHxgd3VLXnf^DT z-zVGmk^i?s|G%jEzy5f8I=tQMfA`q$iHptl{@}naQ}_>q!;idIJ#qQ_vSI#EmzW#6 zO+lj14bnq8MLKmd(JL3tU)a|B=O@EVQn!Wkm1=&g@hCkR3;3lpQb_dy54uHs-hZC> zx9g#>Y+qpzbQ!Dsahl%@q-ZK722M=9F^xDPE#6S1Do(W;^x?55Bt?H^X$Cn^vc`rx zv|5&TzMDo^)B6=QprcReHePOf`7xCkPV`-xy0$$}1(5p(qH3?@JQ zDn!+UPu&_~>1=}wSuEzsDlBTZBQ`IU;6l}!i2w5wLE*jH6NyP&kGowfp1B-;q%?l# zA&U#`v$0e^!Zi>jnC%!G#aq35?wT#&RF8I1DRG0c#6N9Q<%;6^e3Z$T?w@hb@d@wD z)@XBa!sk4j&aV6F(&Xc29M6mg7jzdNa~1Q*L<{2g3Zj7eAKgzLnlJ&`0cZZ|Iy3oHl_bTqXIe*UTmXz1&+T`AFCqIx_X)7A$(fdl{`Z9v&zVVPubmP@w9^tcc z?wa5-B+)m0D@UH{eK%uOTYq;S!rPI9U?jT ziaG^ltm#lkozizZHCv3rG3_o!7ytqd043 zBJlwdp6=XJB3}<#7N1Mb^0%t1S_=8EVZ$a@gME9GSj(-p*~5WnbLk~c*T>B92jZilGY0PvbWUR~Kp5#f^4_6QNeKHYb>yirsQ1Yw^H zU@gk7U<(cdx3V>*Cnsm8IHkw z+86n20m9x~{&;ztjkObe@7NeuE{PuLYeAv{eJ%H#k{?w+vu`>Gr_8}^-hbuYG>mU6 zFld%^qkq*%q>Wp@i4qn`E?7m`P2~OUtyaN<4!D{U+c9eqYZs&~KD_k%IZb&SvgO<` zd*(&-w#TBIDcoIDHG6Z_t% zK`~Lot_5Y)v{A4(IPbC>R|Tgyib7!{2_~Rdfh))TBxW3dZ~|5gI%uM=o^;OwPFbtY zR=YD{#UFgL_87h0)I-+Ldmh{rz+ilFd)Sb?=a3`1?YM6@U-OyhG4_1+G2{FyQ%2Te zSFC}(m{LDUHcUEuKg_mPjN^y6TZhp)eYOTGl>RhhP$>CZ^X3c&!rxKinY@)y;D$hu zh^wS<0c>r{xyJt;(~x$1Z9`PC3#?7#XUTb_WL^XlH+J@DsUK1enDh&B-AdnoL3(<`uh0P)6vd;_wU(MiX3{J_v|gp2*vj8~(S7EX@Um_CzPV)m&8g3o%@_v|_3f8^@ z$cuw)=~w|*&#z;$h7yC@ZMJJ3rt&9wBLxwMix}^ zGL49RzjOmZe#H1?r@Atj$Eiyxx`*OrS?X%ImBN6eZWb9w@>w7v5h)>l->73Br>#rw z8$o4FEUpPp(_z{-FS-B^g{c%DDAA{`8U)J|dS6dCxGmyYd+5yG{WtPbn{H06sCs)U zAF~aoy{IxiW+VHCjn6Z!f3<0`=&(NXUGv932FnU^m$shk@sxe(=#pD7USk3%n^hzg zQe$AAdQg8a4pf)%_%sm0 zH05q=y)qyJSo0{1tS`S3eGB_#7Ixxc{+RW9d=}YfR8I)S#NrPo{1WFqEqQ`jx6U2W z3^!R^Jj725WU_ zVHpudhh{rl&%FU{pKGt;;E81vs)JAViKRLdK|$@=!h~2&au?fX+hdHw9oJp?@On@n zr8m|Xi~#2{D=B<_N&h7jo>Jv8JBZeAXN>+qE5!&nP+RU%@aR%@-YqEn3e7{IsOszM zd~td8T1C%QPtM7w5*dG$y836LG^p%NT2M5IR$r7Z=Z|g4z4WDoNf#nP*8Mw3xd1N_ zs=~x&dxFlqj`>k^`xaMC9JDT!{oM69WH@jnxxh0Uv^%Whl=TFH>d#h50lQn|{J+ve zA)mBzyAa7NsC=Z_Dr_&kAexrJ+-+<781UiJUjbq<{<2}IEfU*d1MSk*5cdn&G{8v1 z7ACR8GkPG6M6Mv<)J7_#kjSCJ zWHJ(LWB;{$sb_dM{t9TB(;qlvH?*e{KXiQ2X4-rmpM5y`*oGO! zFFASNM8Aj6x5Fk_-!Z!JpnKqt564AtxXb3cqF6RQMqFebqH?sqNg!X7xXPWm!t6Wc zpj|!(m&f-qA0d7B*<{_cq@t~r)D|42;+N&U&OPHJxh)1B&f7}kw4h1g#^lGe51T|6 z%@kywD4!+?rJfkTs#_*vwx1=??<<1wG|lQVK5gvw)jTXq`Ja36?e=6~T4V^eH<8xm6GsE=y(zjQg+-pIFm0k8`_a(gX8Nfei;TY(K~ZKi$RwO?)PlCxEOGIXr!nx?=RlaM`VY{vqv*r@mtA-bJu8zM zNo*!9a(Z##qSmt+4g%=)5%j{4^UZkQ8l+zbHe$$FO_D!UR7YAU$nf$Vr$#5xeIw({ zt38BgCh;WhWHXTeas_@$wb?gP4Z;x9+of2or(YitLv+81)8}e4HT*c##SoaroNgLQ zpap*P$6qq8>`#>pwzJi{15jfw17QV5YH`VJU7xIabydfUOG_n+v|xVmP{K=;OO-jh z!hZM7lCqy`hEqy^KnBL{eeEq`m4}Wz6+go@hc6Agzral5vjz^|`NRkDsNjh_-34x6 zTtyu1q{Gj!atG8t+iVhfr@fzW@E;LTLNNrUcrk%)U>(_zx-?M?x~PXgmXajUf$tx>i~etv$>e`{|l zCF4!nxv0wQN_MS6n>KnpX#akpUWJ?O~6T`0K{lresFuM`0vs6US}A(cSxF z4q;@f6ABR%Y#07mZhleggcNgb%Y_ehV@xCAzk~3Knjm<{L7Pe%1j054hGBJuJ%@{r z4U(p?xS8{#D;~>1cOnrf* zw%)d3DY_e7K+?)2Eu0JsFe`+dC?H;Gq6I>^X#zI{e?O|$+S2u~S-^Nn54O>c9}(ue z4RCmyk_u+*1=11?zZO0|hY3q~28yCSetC4%f%n2aIupcai4+j50Nguv@noSDFCuu= z*E4SrX^Iy*=At@t2^q($x-&9f_PecpYFap0 zi4rL}^1xQBN)T}rk?S|pa*AUQ+Hvgat4RoMMjl<+CXD>TDXW~Gc#W; zOjtr!5=ob06PWei`Z}BabbC_m&*wv?!)l}hZ%#GwuodlKpn1TR_J|q^;`czG1r6E* zC-1oT@WzOJ;t$ZdwA2dQXg;8|krk$j0;OUUR+a0R zMt|=pU|0YN%GMEv;!7$pmKRMWYcd6+Nit3{PlaeQ4WbEEQU|Zl@Kq*{h7zgE-1Q?P zkz6(4sRu6lHueQ9y14XNL7x_2sE=Ls!&!Uzl5uO63TEro8n9%_)&ALUfWsXMGe@da zp9zJ+MQk#MVg&!1{HsB_yzcy5bAF-G4b$xKluV%J`P0%%POTm<-*hGi9y6K)zA3r0#(l~za>^e zm*=1BQNL(t{>-kbes+EIP!>m((7@vPqx+DyGrxHJ=+Jh}F>{(FGAiVud;IKD-+JA! zZ{4Ys9rjPdnAU zj9S$es)(DUv_NG6zk@HpUM4{d$W}Pwkx?&D;*pFa0Q?0q*V8a7?7?qI5P_0sh-J$O zlww5zpf5scjVvYK+9YyX^Gn|!VUWG-gtCRWI8Yr#awq`r_{((V!=V>=Dp68ic-#QB z{=jXS1x{8wspe{X2vHCCO|=0MKL?{sZ;+IEx8E~J=}_0Mi!No=-bzxhp;i&jdR1Kk zYH4N@IzmH~+@=RzNJ)CNedlrcDWc905+tG8rTF50;k=0<|2Jo}QIhj<6hngLf2GQPGKRiz1RI(3> zoOs8wO`KGCbG1a-sHyYbK-9oQZQx?gbjZ}|D|57H(5&DP!>>FJt?yQM&v`?dLR>`X zv;}L2(<~7B1)l{ZL^K3e$I4K;7tz$_eU9@KhiJx%~`=1QxwN zYcF?xS`dfNTiLq)qL<011+FrGj@H)%J@8O!t6X^y7$KvA*U}%?42B24V;=m@lX=Vh z0sC>w>22G}N3pNhHsL&_r1N0T;77>bEF0u67c0#{n-fmq?QmsN%QEZ+`GK z@viJ{lV($Ba*F4dpNqA<0La(p1TQsf$-t1$$1w%3NW=nrv6(~)Ev_GR52iA1#gw(w zL-+3Ov!szG$O&XsUMSu?OTwy;eG8=;ERd@pD44rStWM0TPDG225BM(H$O_L>kq-F# z#ezP8O*zPm3(n*U(lXzQWbJFzLKq_%v#Nwo@~a$h{hNqvpO6W zv&3KBP;RbMbqkiEx4t9n30B{ckH1XBh7MKUwTh@PN1kxT`Yd=L}CSF+T3qB$OJg-q5I$%)g#D2!~%RY+&Sy7>4*W& z+8475TwY%lKH}m1xLsYto@NnrMkseC)cle~%P+>J72%k1yN+|6zjG;YJHN20K4MS` z_6xI-er{FFi$WbX{#4Z8YNSNN1GN!O9ksL{bp6Q%&+n&hTa{Y05Di4Nkx#U0z|ka! z{zU39zv5nNIA(ii7g`;^HprQ!92-#vwwGy5Aup})9wgGj1E6mQq|BZl(|(Wmgoc?j zACuz#VjPgnP%GlF$zzUb6pJl!;f3cK%TUpvZh)hANz#f}EAbihM}J4MzsSDIQPZ=8 zN+b*=ruo&ZhGWo7E};H76q05MacyXZ|o~Avuy6C%3lQ0zi%NQ zp_UBLK?Mzf3!asz$>?15G1$^(PCu@XN)(K+o|O)=2^|e>-ZBQ;iLFetJfUmkLI=)i6rSjRxY)5fF|)vn(KPu6gcH^6QCzyR_aM9rZEn!#6uppSaKii`xh zDV#1g`=qveN_Kn{Qr%R&!;NP=VpJ4aew_I?-yZ3xqkLN3i_`TMnraS2YUfFYHBw9} z7pQzXdD*@Km``ssS~?i^#0NJ$k1DEqsytw}WxJ|TTLs_`*?3O5=dE>3XYdfd;E9Z+ z!1Xzv5u%IfE^8;bcVH^#$(ZY)9W3k*v=$6%+fbQBY;romBKNH+P@rzW^nRVt7SC~h zDZBdC#zm9SrR9t0Z|52tg@&Q!W{$P+h!~IrzY1oKB!(Jz%PEQ2+d(8~g9}BD($0PI z`IxpD9jE)mg{LFxLv&LZG921C*CD*kKtb41_g|4=~5zWhOCOg5cM z>+cd(vf()O4CNb;rc&^N8)idGCTPBoJE>mckm(qD8D-R{xX>_jpF(b1&rDrz1+v6o zHe-CMVs2xgS_KkBm4uzHbIyJ(24BqHe8PGpBOm|J`&2@HhiGovJj&#gJqOC^7x^Vk zeX-~?G84P#bj)D*AnVxIfCFCfBR8L)Xjn`R?%1hbx6jt{;qq9#WHR(|i(ERdSY=Y{ zkhxt|-CpJ=lKFe|vAM6oojc&>yru8I3%|3EQ*Q`v54gr#=l5JUzDSwNQE#hCd4X7G zj&TXsyK1_;X;U!)>=2uw)0Y6`bU|MS)0dz=bKYww*CYDc<%$QSPQ}Elz6b=7ar&|4 z6>X{jViH+=`_2IL;Wd;FlCEK5`#E7%h$);jXz<>ITTYjhN-5L{9TwlYT#=Vddtd?X z_^&F&VZ#C@EUWs$;a!lE+aURr!Y?tI&8ck-nUZfAfqUn5xe&gM0{bJ8etU27IWUoX zkdI+!ml1WXm&r1o_^|zs-+lbrlrPGu@^&(%)}@!^WMmr+Q01V(S*EF;+uBb2JYXU) z{NTgt)S0QhfPfyjuJr^Drw37o^sToD@mVXPG)tYrIG#a~&p{89o~HT4KT!XR0|-}7 z<5v-v(IWH?RAn&Vol)im6!gd!TvkZ$@)LAE^)hbhT2;E1)Q@!p$3BRlm(4GLGS_qs zP7P`FIZ|B!CQ8NpNvk1Eo4ZJsDa3G%G%RS}y6J>3Mm>nqe{jm86{jLe`U5@S!*VeJ z-$8hJGd=Bk`h>V?i$0zvI+Uye3CD5y&L*CC@cPSKD!xf#ZK%1t0Cf18=_qK6r%Dfs zk@un4SyxY8jqzHb?}bp5Q;d=W*$1M z5TVbYD zCHE5K4d7#3ph@wx$?&VL8Ev+u?W#bKS>`6BQB(jF?`rv5$by_3CH)q1Fb8cs4@(Dz zads9-NaDC;K@jcg309yQR{BsMs83 zJ6HJ-aELmq4Z`DD&$qCOEo8oNIx_C_Qn1U(2jzQJObybDFx>r)imbMk#l2aS=tU_G zKqlL@%P%%2$l2O#NM>{HE!#~5xh2wKv59r0=uv7E;=eBlCjR@E?Mw!mFk zx)f3Wof$17@vj#JI!XLoDdCs;_>E6kgnM8PTXg;eGmg!^iVh>Az055z+0(_t|BcyY zgs%3|zVeDFm!X4B#E$DPs`6irjQKjnLXt56YOA1v_|;Er{Gr2@Mi-e1np6rwb~U-@AOzo=mQ`>_rC9~JRekXwug4jYJEl+Foarht9=lO2>~x2FvwrQv+WlWOdw zE(~GxZ6esFIBU4g|I{VbTKNEKbz!y*P}nL0Sk(BvTeuWlRaMpY9g~Wva`0+A%txkVWp6wARn8gKTcAML^9_&mfE|0bpb%SYLoLNXU629a%j7R@f8x?6RURXd%&!y zg7>Iqu2Hz9q2<8Bw5!^2pFfw=ed@jLZtTOzuA{Lj(BnHb2%N=MWNyP|kD;)1RyjJP zjGf78*DI=HUoiJSb8A`#l;fFK*%Ef``z;-Ob%?VzLZX7~vbeIMTJr!YBoPrboL0b< zvfuIir5UH|j{WHOJR|PUe=1ln48{7Ry;!^h>0)OwZ9a*2quAFYGOyL9IJ$=I6XdqI zX)7aN>M1eOqtPOTY{p(Zl4gY+SZ#pMZ7+U;n%(KlQc6G~>N9YS(Ybh$MZU3kBwD29 zVxzmJm!zn8)05nZrz+%LUARoyd|>fw)Zlp7dMHh7pC@7kRtJQ|htT=+Q$7V@Dls4Z z#10YJPYU;8b?J{?O%YA8C5|Ec6>_u$UKg>>g|XWyC4-4}~V85t(TuccLZ`WbIn_@QA#5W599sc`V)N zeol4KfhJ@BjGoVI5ZpKwd-{BL>#bvQeEIa?l2ZL8J9hbAbz(RwTh8aNr4im#WTkfd zQg(eJ=4i2)T=<3`f5~-bygs}DO`+Z!4}4F3%IqDp_b_UkDLj4f+Q?$rjZ-daLj#r!SRI+|7i>c@_ukWVmpyAV% z>*EKZHW~GYr{<*`pF-E=4BNjt>i%PQ6N8i~3cAU!ok0G!(TKxJspL?VK67?CyZWlC z=j7+_IPM8#;1ZQ)neUN@v3p!C!SW*|HA63SJj8-I^DLzUBQ7I1v4zU44~^-*Z5_`X zWmM0ZXN>8$`5&q*k_Fqkdb+9}+qIZZLUP?t2T!gIZ-)9!oxXN$B+9VhB9Y%Dj&stz z(yKopACzS}z7k}WI8#5Hp9u!1m1hey3-QJYMfv5MjN(!W(q7uqfk0|thTwZy&mzbG zI$`!TxDWf)9swmY`|J5?qob-PO>H?7v-%83Ldw*o3Cd+9W!0t>NPUy^K}dtx#<=k_ zw7@+;AAVlQ4QgJycgD`->X~6st47tucz@Y#2ZW-8GX7DqWS<>8Sta+fYI42Z(Y|65 zal2hQznuTHa700FDJ?l4%nx#4Fa4AzX{S17F)s*_7 z^D>GcTX?rYPADVzNzNr=61T6hf9!o4Yvxor*lMBRu3V-G-gs{wqCZkfh*{I;785=; zi~8U)lV?UKEeap;qNE<5nPE)P1!~DRI1W9`8}-vgKQNsXi{{%swgp2pEU%q&Rqy9! zE)WXJMJKG-DlT9$RE0P?9^6#P;FEX?H-hGo($8vfkp1xVNQ@LTGOiR24H==_s$FJV zi0!CStC}_0bt+;dzbdj#ngPOtzpg2xi8I~h?Pw5>nrp3+%6_Dm0-eS*6yE_LIlz1s z^d_l>`}(JxKWRg5iHlfcLY+xcyP*{2fL7EfEFHzzK`1|%Ej^%v!07U<^09w1DNqQ7 zv?OQ1^w5`QXB6aN{bhQ516Sym+?pRHsdLpZ$>HIcX<>O7rFni{Q}lSf_(Q3eR)_Qm zPfHudja`4dtdnq25VsIS`HSmGDK0gILA(p^bqeHn?%+9_qZ~G6J6nS){YHBJ-NwDk zqCPE&LuYf^pa3H(uT|e)q6_L|3EoMo)Lb$)TCy79)^SQGNEcUBkAqgPf!afoE2M;dw zDiWerbnTZ_O=hbuW*S0fnh;KI`zYL^vg$>b5j*SN$|tnV%0795*M>Y*=QPHoVf(#X}gE3zq^SZ^(Pwl0GwYK5y$ zLj$D+<@mfaqZ|3l?aRx%y4;bAPr?%?o?R^+29GV>)ZFu{mUnIzjij3OI!_PnI22OkXXMEIiRS4FueKH(dXLN{?=15jLKsN$ zIR{>kSj?Ip{aVR{adCcCs!|n|6Ki6KTJRd}*e>f82O5qDdy$IhuKTNMgy=iccQCdX znX(pT3w8q~6JeX|r9aYyEiooS)c+pJ@uuDAz%AJS@GZT+e zl!g2`gQb!zdRo??n_$xyHxHA36Y4J;q4MLJ-W&dmyYmz60zRery5RSWNqJ3?Eyu!z zf1W>fkX}<~bD$Q!Vu;Efs8XDC#AhN6L~qZP!6>rj^WA#wyG8B0)%U#0BWbc+%&>c} zdGHP{ZBLA5q_fgXmVMMZKx@r}a@6am|ITW;qz$jqB8u{bw*ZV{r-`1yMX=APOVtv0m z8is5jN74n8d=5irA17Em{%DG9$(9pblgUUd2gdQI`Me zZX7bh?C6YZ^BdRMn_<8^62*)3yKWYGoE-0ZUcK?Voh9?T_zQhJzj?kKe7-Li@_xR0 ze#ppvIGi_l-Xwe8aDzV2S0BZ$0KfORuYW%CyZvx~rug*d@%Es(`+n=j;0^vJca`tf z67>FjFZaR2?_}lvitXvo-{;4_0GAv0d%S{rKQBYECD3V74O0E?i;5DuWj6kv zD?Eozbu-l7CY%eYX>86r#y_8HbU!}Pbw59n`95YqCy$=4j=GRPl^=djKMSjUuOG>tHvS5EUrj@w&dM+I&#yH6?jEc6E1w@`yZxR+p?+6? zp-;Q9eh*Lc27Xstez)`0PY1wa9alfy&idT~1K5o^mvH1pdn`{{$%cVHSc$&5m?>*(BGU$F|PP{#`d&n z|8(tlm(hKD$maJn+TE|{_i)_2_PA|*lfVCGJf{cB|AhCe8~$NzZ_D2CfkpMM8T9<+ zfprT&D?g@N9X=@7@7(nc{PB6t_uTasEciV4d~f}n|MBVC?Z^P{-3rtj_A-YV#f9$O z6GVT<;QLwS&h1lNjE3H8f*h}n{Pl9uuO==}o88{+l3^jCPdBID2fVl2OHe-*FX_&k z%Ssfu(#~0BtE4b8>2pE(f;~JFRM)A8irrj{vmFhgXWH4bbZD!+mg@jqVC+%x+T9u% zYYd&X(BC_5Ud`Cc!bT;_vMKw($kx<%%(U6#Hx#pH$wF)bbKkoEA_y}dd!JmWt#KOc zlRfXmLWR8kdS)AMK%3N^9oefWFvAq}&_&#=9UySam72Qz-G`3o8{^&KP#=|$p@bjs zi=5Jw1BDzzVO8wp+G-i7v}6DuskxS=3V}@ z$~Ac3^QLuizpz6~ZcD>nJ}kTG;e_F`S|9l5jKSuzR!6O&EZNSLk}9joS5F=#&@q|t zNuHHtm)`aIj5Vu|jWtDDx#L#ul%%K7juEqQjQv{MP<+H@HV!(cC2Zar3=3$$dcOg} z4SaK+-}NC|cBx1DE}W;}egE}R;Aei=e7w2$%VoXTe?x!M6V z@mu*+B#qbvx;`ypfZwpp6T1}P>b-dy&l&e8~QNA^2C5#>w85igl3D= zLtpe0wX!%3mP^3%T*!1r$0nuxyLX1?iM;x3MFFOv_8!juk6!+6o}Uet`oEtD>j#Tf zTx7kyxdW$xgOi15Vw!xf38kP#CzFI@E6rA4vtT24)Veum6E)91E9VLrQA=1u(ee+E zjvg+H2d4u1H?x#gAIPV@i(KGf6)d7Vd^K~A;KVf!9xFI#Yv}ipCSl3VtP1&YkPRai z-j?FR$Ob${7|Q!II4RJex#pSAVsZx~qX(b;2FS$g+A~OvCBwdlkETaUdvby^ zjNm>X{H{>@=CG(m@{fl{4u9U?g4U()k2|?>@zoN3cL;U|2ppeSHCR^BR;b)nEY{}| zBAMV?IM42#;943V)!O10Py~oBOkk~ib#Y9?Eorgist5`L{*r_fE3)Z#o4_@vbSQ5( zyKP&eTdk+q(T)DHo0>6A)e@j1=kz5ys(CH}Z3XhL|Ld3j&1bH55FBC$ zY4qTfaO_hy2!HJDgx?m8&+6K)Kb^Z+wgcm$C9EYzV`>ZVdTo!4wxaFiXa<~|u5Vxm zBfAXk(DtoI-66bqV5vh%|gtS+Pk zrbv2wT_>6H%>KK8kR3AGd-#E3_&_GK6;D^cR)iTu{i#|1JRYe(wStcY?n$}XSpKl| z5k_&HBDMa@wAUI{pE^C|4ahcfu&!*^Q%)p|V60z@A<|e?p#p1sG>Rq+?&#M@b?G0i zW}o-JKWFNif>8mHF;4kNUxT~6Qk2jL4VDx{V!0CF<_J&CWPL;n= z!ok5(sranKyj~an_=^_gaA4P6kA$Y%R^FuxH=3eUK#*AU-rwu>=}$ReS9O7fzDYBf z;)Ic4|2ku%#4PN{X^aqA4qw7%fXvO{#ALJlm^^Rwy&E=>a&H6)uN14(*e?`z1vrz} z@}7hU5x*V3AFl6126^-707upxa44XJv`ySr`YHj8%B@{>>O23ucUxez2zdIcU;D`g zHR?iDr|#lT12YIY^sH4N%#+k^r$(5f5?>alZ;L@dYb18VY(=nzp{22A4d-MV5tUz!}yZWBcFbY zrzt1CGAYYqRSEh5a&?jaGGNVldnAM{-CIBh%~%!o2Kvwf9jIhYSM>TLI$DWaN3@|2 z(U1Q&o?Ry|XV2$y7%#~%R{X^!%2{lFjBs*hL8bcP_Xz?{KO$O$NG(kNY$c5x_9?L3 z98q1|rj0NXj*f)^UcMd9u2$`72#6ip+`EIXPTrjqO?)TmUDdT*j~O~)oA=LaDJT!% z3u)&(Be)p#<2UZ+{}n@E7a=9bs%5!8*XOIn1MCG>4RxR~EVsrZgp9Do+_oENqNC2; z|H>UnMko7C3&X<>TFQb9W!QZ}okoOlbgOTuK{&Gz%-!T_EmG@hdQd*d^wHM zhvU{b8#w{x(Ca%j`c}j8vvo@YG#| z7!}}0oXF?^Ea$lshyd`ncNhu_7(t^0oRWd>$-}$vEUPY6SwsMahBstxS4la%SR)RC zgY{;AGAzBVQ1=SVOw`9hYZ$A!EUH_WI|vxV!KW1=EpM+;)A=L{=-0uT;kRcf!c0Y{ zX(6nH<08`w5PB8DUhSlIPQh5`jeaB2Ws(4T{Hu@~;3c`kt>fg}NN4U_PjfexvdyiN z0JRzB{0b<1+viGznN$Nv3q#c*$$dfAfJm{^iLH=xjec8uv39462HZ_lS?Y-ufMs_} z_q*0d8P%2cT}@s8gyoEC+tlhxp2c`H0Kc98?8?G5>(4sdFT>bHWR$;gug^Oki_mZ6 ziCw7nB!g=i+$v+>fZ-&aPqSWc3O`P$La-b60KfZgTg?hUW>({TD$#daf+brYoScCN zmIO;T>ii@Tux|X%i*qK{NdQ4ddn|=zZWw;Q&1Zjzj~U-v6TNI)X^*lK>^*e z{X3S7aKh`ZmPG(qLW{Prn|Wpw3;m`sbQS|71TN8$9pR z89Z}PgMQxfWs8!oO79Oc;6t0gykZD=dR5S-%3V&IXX%M-OC*TB2#O5+ZS1_voIaE% z6WrxQd08Q#N?T`PjOP2z{wHa1_m)_GyaYC5sZX5+sLtZS;;YeFQM>{+w#-yQfFxeD zbuhK%ur^f&0v+Gp&<Rk7>AXQ-LaDpdB-V1}>VJkX`PR7ExHy0g1|Jo>tiA@!aH|ms1gz z9qkwkcQpOlISX901!06_&nIC8@ry5`!c8vcS{54Nz_1u;0vj@R-(=3W0vM%gU}BnH0OMe zB?iZosu4en>F5|T~O1A!h=2 zkYa?q?UX{&8ZZe7krWv(mu}LZVP2FVvWXFx6n=T}Y2o;ruEua1$e2hle_alED9_R6 zV?6(cBSy4j8m$XgW+iITRuOxg#PouT6=5_pWTZ&t>@#^rh(d8fKmaqOr$}XZNtg>Q z7*%FCTPj&7i6f&Xj(>_26)X8Phm6s%p%WE0#Ctoy3`WTq*ocA#xf+i z(1=)p9c6|{ZP{yCi%d0~&aym@N+f5}=Kgwt@+{BSe*hXW)$9pu zx@`54fFCGt_Zy&uNoZhT&zlZ+$Apsx74qgkj_4w24=^b9Oa5ofrecnziMQI!QoB9XH4 zp>pSVF9RvI8Cw4{r7yB$&j{OZWUNpIf8cgEYNr{O(xJgIGcgy9d-0~)MNCZFtCJ6} zL0)|hgQw$i;w!4M&G&#=ikq zO%rsprpZbV1Qx1E0~-(&P5LgyQb`raPL*16R>I8Unaem3QW4;Sm1mNe<&w`m{l=eLqS~2(hl+E zBI7Krp%5eqQfR$C;H)&^7w`dj9_?m1Se!*?5Fvy-7drim8R4WDM#AWlJLikfTjafK9+-Koq$<$??VNC{R>*)h$;rpnP|=J|0Ezwo+EmH;4Q$5)2M5-2By zCcb!kX|*+7`DXb817S2hw8VWn?EHr;0wMUm$g~nm!8x{65@g?eVj(^%d`SOBD7`sS zMMtLO0@3Xz*IAg!8-kMF9vqBDph~rSPCNURYql4mr)71dCj?JTul2o1fAf<~yyiq6 zBNP4?QthqmXmu2ARgaw>$cR6lns#f=?u0+r>_~7U2-O1IpNwKXOf*ph6ccS+w7G4; zYpWXdAKKwiAW)#eEvYi$)0*XbYzMv6y-QZ$XFP=FO6;ib=9Qva99v%{(=fc~VP%xO zOz&5`rFeiESytyDr%KA~C*;CgMk7<5eo2wEmA0OFWJQ@G#7!c# z0;+nrz5iELX6?n4oEQBY;1NgcETna~UQ9275imU+8yYmYsWlOqHGkAHuOu|UhUe(v zI0`5l-Dayo)XZ_O4{fVBVH$$oh8u9^P8=)Caa ztIG&bjLRmnCAQn=27uK7icZT&fq9Nop6dyj3P+X+Mh*dU08C3_JH0dfo&Zi;)r`$) zhgL-zuuLy3UTy-=(nQ{f7lB=Q3DCRRlALeE%etTrc6buY4+V$nOCdEv!<3}EH_WK9nz>fH}JK|?ziWhQ*0`%WprD& zT-3R4a#adydEQhUMRbN3+?49raMI5ZQ0Q?J3_^ix*D9fzu%|Dl8h$ASi^t037T(`9i7iM~9@b;518&WZ#C{jx%Vi|`t8x6S<$v`Op2nYWJ-2Vt0 zFM`5Vf&(zM^OqL3WvM~aA;6N!@Yq_YbW(rgYPgQRm+P?b!0SRF@!uT`8djdg+9 zvvRGAK4?t=DI$p(5ak-%%^@p-isC6O?&bZ(64V*W)7tsOlT9y1f}#Z~=U1BsfS}KZ z^?vsS7_uX~%yQx->XB6%D*#ZLYqbD%V&)bGGeguigZN0yFs&&vK4(V$x9)dSpKax`d*uDT0;Xm~rhdtAI@y&fMEj0GR z2(wxomZAuu&DVme2b%Z1xok>$AK8jL626$=QV+P=+1V6{6p<0O14n`q)(=AFBw-+F9>~ zN_|f5Sp~?l1l8wZ25UGlXhTzs6RUr@GJpIg$mGZ0oYw8n9(CBEY9YwV|-Aa z#Z;||F{P~CL6bcp_?ktp&E$nI0d#Xm4hPugapjk7mISt$UO=gCE^IDyImSx-3@_&=vz7@~1vW*!UOMm}2DwT8!=UB%53=VkKK?}h%AB(so_*HNQ)*(eYXl$$ zYni1|RI~sP*q;7M%BM*Ms6m^XInE2ar~|J5s6kWMKWe}muJ0*Fn2?&d|53tF7$G$E zdgSy_>bH~9DhG&s%%~k;1PE&G2OJFl6+DxcIlGwwdeFXG2me3l0qXK{6*ekDK`BnC zC?S3_&gmriSjZ*)jh8P$oCxl(2yc`r;_FdT?YOz$paZXZAy{%^|^uLoNUT~8(xIVx=O|z zugt8R^SWBb9EnDvXA4HSrN4-L>Pp0f6P0%W-$r z2ma=H9I#qZM(!5=e^v@B@;FL!a>Wq+g81NXIHpe+Ux>e;Aw3&w-*5t)2^x|C} zid#}z$ph#$lXiH*h75L6;g|>`%=TOqhnoa#;UrD66m@b%T2^-&FIktTT`w^JVuWS2 zk1@m!yI$&(Yd9Fte}FAnkaPmZW>%h5!I@`y!tRqTq&U8$;|9w6-8cn~rZ>pj{VJK1 ztpY?LPnR!I2($}`LKp>G%xuXayM!u06!Kzb+6ZpM=Tq>var*-J`fX@rR5yfV^bjer zle>JZ%KV#$$)VJ}aoIb*)DK}(wrgxveM(%5PX zknp{$6GoaE@}iOPR&+PpCasA)&tIO&owaT@{{sHivFxF(4GM z=yWutvPkPRwPW^uZ89T5Ye#T72!Xe>cE;D$jLS0MPKH0Yb9J^5(e~OhTV$3#+F$`Z zb;C{8D8TDGP=c7H<*0N$fyd`=wUO|akv=L~an}rhwk3QC3;Aeiny z95bOw%ZZJ#!A&IyeI8-lbZ`TESr?mTEzQh*nzo>bD9R?Y0GzJlMD?Z#;9|>7JFm(w z7liS~7&Yx58Tq*|ixzhdBL-dy)HVLG@^Y7dth_?hmBt!w5ya$VU;LpqPNF_yMu(3s zEZ7E;Y}7n0q78sM{NaDW{oF+Xh!5%`raA2i7eUsu;={sJk&-_Xnobv5oq<_uO zggFMd%M9AJ`)hLZXT$LAUKvDmE?}KO$r_WN38`$t0&D~{m;KL3$)f33Tf6rrCojwL z59Uasf8SIb54dzoQ5||!t*ztbU20ESh#xcpk)5nt5-KR~l6=)!gbK3zJJJ@QN26T? zVJSaeat~%ci~4YrCZ5w4;zz%LR7OM08h|c!^RjbihLw{ z&H0CLQndyE670c1=Zs&#FV#DnUl^S(up1C=+{n($>Qn>IgOUIXEog0|SiVuX@y^G{bJbdJbM2!7dH<|Q||#+6FL1ab0LsAkf~>`U;#efbdfcL9?p>g z0FpXDLXZQvTRpRf5+H;~J7oCuFKh9|v2Ak#2AQ{MtRD; zO^jh!XQJri@&Y6}pLBnXM``B+tqYq;*(Muy8#kBgSt`=%Xf{GJ>?%qQLMVa@TL2-j zSYB8&aUHM(s^-V}NCd=dAD@-4(kN*E=XV!qADgh2p(mzh+g5ejYE%Bp)-J7Xfx>0j;AfBh-XveHdbcwkSdYB&ZUS)wOjUpKFBrPb^q zT#LA>qeydA0Ux)tb@kF-hx?@oF!d|FH=%p&)Hu*?rZwo5^ivsxi=s00>;>FipUU7l zDFm3dY;95h8%$rn1k=kNFTu2+1Q1L&{4&V55rr^z{2j^h-ocK9E?Em}6K*uwAQaG$ zowV8jd7f%yTkQX?b2X6Qxs(S_0n5*nyp1+gW1~JP53W4*7IJeFUnYW%v)E&El$?x) zyf{gl6%7iaiM*x9W5kc5c)&8XcW0i?Cm#Zi^@|_hOR~@Erg<7*VMR+Q53(--1yIc5 z@e)4=bQ|C=>R_q}2&8y-S4VQ^C^X{V{&f{;0EpKr{NYQc54drZeR0Z5tCgUCOm(E~ zzf5&a8)`W`D&xZ0#X%m}tK-G3=Fm)vyx;XrN7%eD%5WyDZX^%Jm;^ic|skk2-$0VpjaRZf|2&U5dzmjkxD$jS$@%C~m&$xacYux@UxI)vhjKDLen2@_ui?A-u^w}g7a>0)HJ=!Z05Eop)T|nw3#5^J zdMYDdh>E>q3=)tV3}+qIiOWOo2>h%h5VxsheJZwNFMxy5Avf@PCbqLNFxvO`Q2GRE zHQh>EMKrwas(VkRR|l%J<;d(V+cZ-4aYe_M75T~Q%i-zX6mFjDZ{ z0lwwoE32z|*pxMmbu>Mp8caD>zYe%R0vXJ)06~P<596{mKafB(M14t?9jIk^2-x$T z*pUC&f0x+6A|IB>-(z`&{Cp4%`+VP$vFkbAEGQ5ll_s$2^BX5TIU=!Q2bB;$;g@t` zfd+x)Q^5~u_Jm~=g`f7I$xP5%^1&-3fxn`?&WjeLFa3X63Baouk1rb5r} z`Ra#?AsnAQ(xDsYTA_BcDR0DM-QTBXLhngCWEn@$p(Q!dg9D;h_W?q;tRh$Ze?p;Z zBS&spHuQ{FdU!y%T@#6B54J-3IN)#a8}opLN1mhD2R*(j{ng1x24STgh3;v7D;(#4BmzfyQtX=Jy>W zH}r-u-q=>$ss=W%=_n)y>LA3t8eL3ej)~+4{_BhG42Wmna9>2U2YF673{FO@R~oB= zI5MzzM&-03}TcHk(-SGiV?;Vc@nxxPDlig(cFwFTqWDfo zbJ+&+d{kOAc4fuzs+&IPR?XCool-vdCN0_G*dv8O`N4?v8Ah_A7{iuoT`tp6=5PE%5N!A>L&S|^sb3E{QA7KuE%<5*ofvGz@Ql0J^<$>~RN z`Gnk<{Adc1Ej#ZBEfTg)q?KT6m6Rmnx2F6&5~y^kz%GDENJrO*jPh0m8wvPb4sIQd z?ABrg!{pMC4&<%c+|DYcEp=})S^m01XGeG(hKw&W+qG);4yh))#<`}|cz=8?CN$A8 za9T5_SIBtV0`-1k($Q$bs9$z?OHRhoDFwu^p}Uhqp+bSx;pU`-0@5Nc;J@(7aZq-% zpp|bIbIwlS4{rh4+J7RrOJ2|EE4Am1CrF`6l@_678{eAa2vBv32;|mvp(#`$Cg`mN zMNh^P&&)g~Wl)jCgG{#{lZXf=1L5A!)6K`Ue0gOVLpjJUSYy8_AW)!R>_Ujv(cqnY z>>AR`yZdTMCH5xny#341;J3R8sl6oNp0++|D*^lvh7DVcHzuoIzic_Q^#05-X$_^N zNm}6^C@35W?EH~8WwrdIxYm)HGM-5D&55WuqmYCKt;&SGRjDbN`l}4jb_%7LlN!0{ zR&h+vlk^eUkU47A;Hqw{xX~~;fm4#N+3An^WWFEuH&7~um%>m1g@BzCj%Tp`nC4-c zR>29VfNCUf9CgZkRzAgbpU7i^GFvinW?Yhx!T1e0N)g$|g5M)!f5$7%LE-g$!f>(3 zc8ozrt3b0_BMZ)Oyr^e17e!JD;( zK2GEL;-sF->F6p@Jfhqi3Z<(1>bC6(5uT}-=S7Um?r*D4GuZXgIuYb?5H=V3R68W8 zvSn+Au2Im2+9bX z(&c4fN~CWrf+Y*)mpi!Aro16{7~(M<&1ZV1gjn1tVe+^99@12=#hA$ps4oK8bG07e z)EopB$ZIFk%wgeeIML~g8FfJ-@jW4DdL#_qC5R`qX!JKomy+MpIH@*t^{=fb?s-H?1g zGmz+9pj$;S!u3lq4no8ztMr3qIm9?I-tF?IvHY8_HAx%Yvx#9jUvqv3T)(D9ggv5) z{#e-?L|+=G!sb?SpP{;4^><%62b%0KSD#KSi5y|g(ajY1+qk(vWD=Vu>_>u8A!;9; zy-b%9kJ!@)VfBI*L9l%(7Vg6&j;=7eBYZDvAjdv4(- z9>3#JQhq1t*gP{k(f*M{0n?g6`skm4Q8cA;nR)uGoRY1(^eFEmH! zQO{ugPe9U^dxJ)6hSXkiqUYxbC)@D{W%RT3x9{EvuHi$~)~?UG9;%vs_%qNHuG&1RpvlndGxVXQK{+doYr$xi z+@l1z+6+$`a;MM>S>e~S$^M9WOtA48X&TB`h1>$Fz@?wNlSYjTn|t|E&Xkak0$d<%fTh_JMDHiCj zrO<7bx_;N-rtqXL)Wq>SNbzcs<{#VmQMQtX{ zGekFq5yZ#s1AU~~3;91PMqgLQ63YbJcF@boOLgt+RauJ@W!=r(-nFrH$uT$XTuK(M zCI3&#Lt`AQbN;IAKI#Da(69L1?B~q-;QqvhaISOil!H1+k-tt#nKak$(~#1kTS%Q& z9bG`ocx>Vr(Bocl@?j=x74$XE$cc};A>!gJAfeoj7AKcRR*5?3%Yl3_O)zz?s=8k~ zaW*5SaQIKY`T&*BpM+^9m=I<@XtyGkwDN2{hq2hQK{*-e5Vjo;l{=XDet94cVJIV+Z~^CBopa|<@;$_Z9f%;==a-QkI7rSf* zNk>15s4b?Mq~9<^eQ*Dm9-6N2QPD3~(xS8n2Ulk>OkrG;TuqUUm%XyzOB-51tR+v$ z!}@mCzlOqV=)KySsZ2U482)wk`>XfK;=4-xaW+;NtmK@YSxOo5)AZwoEXu5eth^&U z?>M`d_?Q=w2{yCN4#K6IWPaf+oOAJ+8`XwKmCc|f_@rYl4ro%VeRAeTCM4X36QiMe zznBvw^fA}wM>2R>3KPvL5mO)M*e>9C)%dnhMuC%c>(Yfh9BkfQ8V0I5>vzaxdEnx&M1p}D~$;mL#sAd&J zH5kpHHB>DN#|M=FYO5_ojI_&T9%gI4!|XTN)|-HJu=jF$Z86oW@y5_LZuWuZ3a zmd*5!w_+4lDgf8^86G{N{(n`o-|9#5vKC`e@=QQyf?dER{&{XNK=WIE!B4V8df zUM+~otY~()1VMYExH;PB=&+V2;)+4tDB7gKsKZrUPo~V%$d-n&4l|~&BIwz?$RR_M zdxfE39s||ZsS*V)dTN|AN#WITm#`9v3uD%44D&I1!St478~<1uG}(FyRRYY{XVhge z+X?WmrM7v}2Kv0orE(9xCHF`3?NBEzM{y%V7R>Hg$sR5Z;BAXCZ&_WCv!QAe^HQ4N zByMMH#fWb31dSlU#=?YtkVmH+!PJMPppOwX)Z)87*B_Z%Lh~XOi!Q&tszGvHT!7W7 z2|{Hggn^9QDZzZs|0vIuYlBmC#Y>M9suV>2Syr54{h zxhU?J55or&7nh?TFny8LAaxn*`=prn$x$Y;%pMWH^MZ^&r|L-`4=HQn`S&p&PKK`L zh+JcT6_v5x(#beO5WwV~Kq>jl-;9ea3Qus5Yki_SUR+QhL165GY_u0dGn?Z<_1j3? znKFd_0r{1zX^9r0sjaDLj65~MTnVIXNM)#7`R)5s!d-~FArp@jD0ePVDI=)PAq$-( zpcN5AxA+Hrg-u_FLsx-^*+&Ltt~!jVUOZx$G$jfWTujRF@0eO8Vj~z{?+rdxv}cAvi!WLc~E@ePZm?O39jz z@(tm$;Fd=cPpXS#vaMu|Y37gQ0?2HvzjSi_cFh}BN6U!`CkN@$nF3_0)zn-nQr_IG zf<}rAsV{zlaiSL!1?~)qpV5aqapw3>z~MB}$(?~;i$B-PHZoDSo&chAb+@y0jC|CQy} z$93Zwk;YiCz&Ht9jfGR$tEhWAt`hDp`{-S7E0YPZ%dy*p`lK*ZM_b*18Zj>qP#Z&R|q7L z14~@SJ3FvvJ&Dwlt<;ka3mdPoqop;`C+84W_t=D!F(8G=XhLIHCo;`}oG-N4Sntw=IaeL$HRmIK~QhD%6YB0HBl z9E=yl}1gKW@tE@D*78u~7?l>A1tuVipy3Z-roT$(Z)4A(6JKI{WeMUsaefmZ{ndpShj2m{ z)uuM%ayN@Q&OB=>uHIB6*s8FP^?UA#qHsQfO+QiB=$k2(-M8GbjbGn0Y}HAUCWYBr zAW>A9W`BkGB;03^wuoj-dj1|moR#Z+Xt=UvE*B`)Ya5d(@u#@9NV@5!?JMHN#Lgh13v_QAPf|;)qm>6eep_POwZ4v6rhwDN6IF z@6=I))^cNwPd||N{`|S+AcK$TneQBc$Mq%aEYF?4Vu^)V3M&SVlfSfXbig%sJjiw5 zu?JaLS2g}_6V>pF$;ltdJ12=ewv- z_17=DTod=m-I@5KSm;JN}{`L z3&IACrC_ALF~+&cA>Xnj`)}6 zo3-t8DJulO<2h1Fvl`>zM3<(&176Clcx+b=-*m|^qcI5H8QDs52~ZFhB`Q&5YI-Rn z8exp$c}Q^@MSha*$|qd?;PP6|B-_(YAm5Oe1R*8K-d4jb;v}eDgm+3eE{Z3Lc@qtz zeV|>gklJ#wMz{}&Cr>Q>cm7avzU(~$8)w92J@=ET?5phrba*x#!Lw^)spK*T+kVF^ z(L{z(R~v)c{l(N*LG+pSIrx9pEEGbm%jq*KQO?ixIN!u@hrN^O2!jo&Qbd%JfWN5x z9OJbW))j=xfPUb3TteB5or^g4yh|#;TF2%D#Fu+(=ru>XTUy(i%;&s?Z-D7;U zWLwQ6#%#j%QQ_DFyR}inTm9;R$g!gfnM2Ts$Zzlill*glwv1H}!hh0CbAVKJn1ajb) z&kt2$d9Lx;5*(rB6NSX_yruCNAXjr z1kHG}>%P6YPuls9@HbKDUx}jDuP?U16X06RY|eCD%)F)Au0*Pnhb@#wt+{EAqkF~NBNCA@;80495-zh?p0s{3e zcF*T;h9(Ux+L(Byq$P1S$j%GOsl<6kvww_DGP_AR-*y&A%yzEaQ2f}sCk{~-;8NUB zx^15*H>ki-vni7wE;b5+55k=NFq2sk|NR)<%qdJZnS2o@&{?Sl!LcU5$8}I?)M>QQ zIM)3-mxLu_CkEzVQS&fe=nslkEnobxb$ZT-JdKl1VtNG&C#^a`E0vlFntqh$ zA1uM(DaV>gb?ABsU;aHTr!Rj0|6%JZfZ~dREpZv#-EDA(!QE|eC&4wiTaW<;XJBx5 zcb5=?yE`PoB@jr^KuEIu|Lbq<-`%RNuKT**?f0tgt9sq%_U%UTt}dbvtocYccM1%i zj1zj^HM)hNi3LrC#)(nm8%^L%DHu8wpF#w3iCpYOQk0jJv!lL+=Simu)TiGfqB=yE zoiaNDt_00+W~U5krj^0@-2G|{Cb(}S4jFgYJf66vI-~j1)B{w~tM>&9h zeO}wqqMAiNMJXlghd2+NAhYZBX1;4m3EsUUK6apX_DI|n=U?8H@RQai1HWb0ff7+f zC1l$tqdW;!E1X|J4V`#a;$kpa++>mV=o0_iGU~CK-9)gSkQy1Hd@uz3g5x`xjcT^3b?!|&`l#;3O|v75p5_& zC%&{OrLW}MDMy(KL0kilHn?Ju3_j9JrXOmVCWPyBATtw)09&6FKnAt6(dV7v8BB^) zbd&_IGw8p3BV+D9WQw`x;XaAZFlTe;-qTU7!7&vyIOmy+vlXVYXQxW%#9MmIrE~?- zx9+1mKpc>!WBv$`-Xw00D8cYUe2A5da{rZCxN;zjDKnEfDtwtS3`YM4I>f1R3^a7O~COD{9zgl|GftcO&h;l< z)@?V^i)2XpOS(T6;PQnOZpluv)`DB-uD>}ZX<-I)zgQCQCg`#PH|}LG>!|TjBpKki zsv_V)(TPO8JXVdMDc6=r?x2dJIMlZuRsx}op~g6FO0DPI0f`8e&Zk&6g6u&BihBXp z^<0(6-Y=GLnz6*>VcIO}{M`klqbmEE4`@qdexVx;IwQ&!jmz(0LYusYJUd+K!WfJp z66J6-bI(cqfD=vV;B$q%$S3@K+4hlILSeap`9}4^>W(r&MS|D@T=uy>dc`-oJd7-gWmC;Vn(i_T7`U0aY|gBh zrOLUrlQ@aVGU_4LSL9_d;_-s2#a*M3z$aE6pSQW*l=ChovUYg( ze)cs!1kOp>4LC*JOfB1YtSmYUBfTWCEnn}*Z?$>4UN_`BxGqC=ViX9R49M{AOwN-v zwkCI`(HUPhdleqMzqgL)@++?EHAsmNTXWR`aR{xyE=-gu2TNSum=u z+1w`!yGSW*sxjegf-}{P^{Mll09^~V0B+r&uAGS>^_pL%fwkBK^#0|1inTLgYf0Qv z;l><)ezd$h4i>U4gDa11F&lNs=9btojZ|quxgv;p*Y!4^7+<8j0tEdXs|qJ-%c5N* zf^U+g4T<_}B6t;XH(820AH%su6XZ%w5bqUNItf^jv{7=U+FY3~SOU018aUCQM{c{V zK?yW(9BA1{P#}KiQ)o~6rZ|adEZT)Cn2nM)(eeWW%*CzGT+$R<;+#}5$lfy~=AJ&z z{0hB!yGER@l~Dqz2ivXxR2^KtDaT0Q8xT-=a=x3W>m>0EM^rEWdy(+=$zM$U)NTz} z0%E~CfAE)1%f^_LXUpY9p1vjRf(jt>b0T);Rx2_)7{-3BxyYU2+T??WI9eCL5EYHs zCNq7afGq_VWkT_7Cf^Z-@ZP+wO}L!@IaIKLM0U^{C|{mK2bOx=737)wg$P`SW>VFD z5V|;>CY+wj-=){kz+<}l;Xjc=wS`bMyrw?UMVZWlr!K9IBQ>y)B+9560F!X93Dm&0 z{`xo46BOC}!ddf)@FbBq{RU?^rmv zMc*uNW${~o1L>%_SNP&Vgum2M0efLPI(C0xZ#lTcD0hCRhpm73Sz*PAebDdr)W^SuU1WPz zPhekvJHI1*K(+G=uqskFy8?9`Y9DInc(}fq!l?9}hiBPD(T(L55;YkXLH<3E3 zNe6hQn1Xdoj*1X@Ve1ffWtLP>ZQ8DwGZgGBVoAk;HX6*E01j+SKNw}k6HTt;$9>zf z27m26@LJBKylp;F6QCk?Fxz$u`bbX;HJ?3AXRoYPRe>nOJOl6Zg$&%k1Q9>q+E;~H z9I=t?`~*0<96osQ(<~EuxCkw!fLtcxh}YyQM_&?Z;%LEIraU%j1f{UTzDSY`TZtlX zZ6hiGW2*jJ+9xCh6CaY_d$d^a2DeS@%+5sBqf_4!XEohURcqk1om5f8PGg+$o`dL5N@jb}EApjcd-X*KT^SSL zjV|B!DR+hRmd36PlK$$SeE<57m#p$jb`#ShZ)CkJ0k>H`TfD8eG==P@r}M)3iLcAbJ#NQ|%WRo93$$FxX_ zG+NK6fcfJ^aN(_N&6nd)vDiaq`O7eF+p>`ui+#S&Dd;N1St)IwJ7jB??|Arw+{P{|?e%M})D7@Vw>NcoxA(~_AUV$>M z^7e>!+Ur-D*q}!?SHRC3OWeG94uC;}XL`l~_cls-DD_rJV5EmwXO~IZ1;qHQB^}3r!waO{P9&pm&qCVN;Y%>3~)TvHUixY>P3yRs<_Lup4w_ z+RsXPtMr~h^k8Z+8nH?+Y`~D9j>+_0nIuz^;1icsLxLLcpyf-_mZxACS>%A5+2c}FJT*W}4(VQhR|H|WD?4#HG%d78pAM|~TbiNZDflIg>wQ`R;nMz&T zxQdtQzv##fndV#Y?+}TUaYu1cl#NO@B}JoY(t5_a2Zqxd4X2{_1s!=ZW9ZV-Re{@@ zN74Hyy?qc#jwP^w%kN7Ex39VAp$M#fEm>DER7G|xK-$ebC?Vq@2Itg?B298$5ElwT zwQnUu5QQ6&?s-jH)M@c@y54H}kIP07)73!#@Y)%*UMH1LwR4+TdT<8(n20q~VC-S0 zJJKaCcsxBvn4+7wW$!FvOLUZHTaeC>KJKAajc;zz_1xp6_;eK|#4eO<)JHruq}rY& zo2(+X&eLt?=_J&CwEr!J?g1Y^HyhSb(sOy-@l~)Sy{Qq%SEqGm|uMxQw-{2 z29J#Sh#8|$5V|CPOw^-4Z=(^3Fw(72_}K$F!?Ea6FUv+BO_R|f;}fT#(RifTc_5Ns z`toyVTo-V%;w24T{uM+^fsZPi9@HVQpI`*cwt&RxycO|WlpDl~A;yM1W}*8aO^cFy zgO<2TNTbZb=@cKzk7W7`H7<{ak(9#hzV)`{z0~iP#Df+;#Vkrq`U99BR$n-ZudXB$ z71@!pW6I&+qWF>HISa=WeU`Q^He*~G6|p{0Wa96OAnVA6fNT|!#g5u`o5O~ZSG0lE z%!1{t64O0CBsv{K0H#LJ6>s}k?NlfA_8vP;Ul@rjBJ0hp6JKW_NL--SER}^)e(zTT~ z=f8Jv>CFo9Ug`x2iorF+DVTZ~a#54;U1j(txrRWi2xrd9&)(nmU%A-tAOA`8B@0{V zm|^qM7H*;Q(r#xy4|0^ZuOh@((W~H<8swe|!hZcH;X6FN>VLr(w&MPaCX&PFr7>P7 z?f)lPD-^fm{TER^|M#T8gQx#t@ACS8t2USa%kC@3Up&1WLH^$l{f`&q&)VjorAQLFuKa5k!NE1v3Wd? z&t=$e>XCQb{v$Ak95E1Ch(XQs7RcQoE2C^Y@3INlL0HHMEd(lV@!z))E2F6B>7bk3 zUW4^L?J1m$H?QB;CL=EuDkZwX&&;O?^}K7B#UUQLO-Dn7T~rE3HQ|CaNQ(e4_j(`% z_cV7tvn>7F;tOAwODg@Yj~u&yzD4F*iwQB!j*zX)5ymgwL9qgMf87F$2c1E$&!2lx zRjbC?lYVPbaCmE|%H#NjUPYbso5iY0lz@XY4+zV#WYRJl5hs+!Ek;-(!P-W>$;u@D?3hj&?>6+hW6_~ zDdg`_R64u$a;tN13G1l9G(Oac9 zFT2)=+7XFW5t)^zbVT$wi$Yv48eJNWWlV%BFO5d@K);27isEwMxBWhmAiP=y z-Csg)3LBnGe~_LW&)hYa>)l0CdLPd!^Gs#8!sVuZ(j0VI%YQ zl(!HYj$HY4vsqJ_kOQ9!r~-tnNO^p(^2y!HLc z`0)KTyj5Ofx4!RV=W(?(%(t%JlQI-lXR)Kep#9fWv^v!rsWv?fVL&Y zNzO`+KsnM_o0}^|hjg`o{r(2YWrX>3CSKC&v(2-Hh^p!HPfC>ApykA)QhOF`(=t=x zgj^N=#n#NEkTEvJV5W47BL>Njp5+z0+)?`RlE5DGWf~EVd9$mCP&upPc%{BSvVlv? zbUg*c@)KN-Rj?L6Bat|XI}}CI-39dJOL!~LKOj$s+Ayn}2ZJhJ@bZ(io^)0bI2)8Z zQQ6@ADZ%@@X;Zu@MT%A^RP?7%^`xL$-Lf%A#s3xF5>e$qkDLrp{QTJjffKuv{)5F6gvlQJ!05KG!4y;>325iD#RzHI@bCcPp(NMe+gvZTCzLA_m-rWIo$x#!uSz# z*RqB=JId@;a^2wg-;P*)`*fq0ErNzRr-UaPi3-efqoXK8vs1nY=1IO-f($t~#H>+s z>!?{2;Nou;(n|}DaB&I$jG>{D43FrgrJu6T@I46HeU~z#1-*L(%F29SQJ;@;n-(&RuS9_HXzWl;T>6b zQ1{t3$9cixUB>wb#c1;IRB#jDNocnXw<0Oy-+9Q}l^(IJAp7JIk*fXFU6#FtgaNkv zg0`Hf2ffWiARp8mw&0&{EXD~T7C5q)qLc2-wjxdayt(207xgeg(A+24eZ73j$37$aAd<&u; z+9S>tQmnDF`I&xBk^!y4ugty8AW)c$rUj}Ok|OByX^5)xLSN%6`b;DE0nWr=I-_H+ zo+7(kfuny*)@zxYAv{MdR-?E0?wAxQ8tVVTn|3;wv;5DUg)|4Y6(W1x-V+e!Qi(E9 zdy-O;Ot?%!RH-V|;_nJjlhY{_lqU2HiI?f2ZI^u|^xtD!hfvSX|Nd-4V(oh$y8cP} z;%%{C3;J_Iv|8uWD;xQ1icYlXNORea`(TQ`^Zyxz3|_S3vX(4}Z@%93uh6fhPbSiw zoS|Bcq*Q4$^f~BGxh)1E7&z!J(U>;y+vO+fp`@t&keXSu*%T;hGb^k>cgDp zwhf-3Cw5?u?^YyEqV2%j81Ym3;ha{G$UT7N{c;P!w0t`0!lgeT0IjEIk1;H(k>$HMygT~e1!@_vaSbF{HUwM%^>@M!w?Jj9OB};K#_Vy zIp>T&$SXO23mNhr30<~yzH5O>cz4!p_N~p7+CaTD)nK1(yisvY$?=&0hw?Nd+Eb%p zNmAmL=Zs3_r9GAfH?RrsDVaS&{6u0Pw>JZ>9P$O)Fn!Q0O7&-lJXwU=9!SAgw-Q2A zf4oH1Hi{_j48-{2i5El|f10D@&lfSE5aShJPHt565Lklrz$r&8i2>sSxU!$ES(o%E z)-Hfp%bJ8 zkYi9sRD)9=f2k%x2JnUFtj{nT71&0h%fbmt78{F%H)_*D_Z=KB-$378IwR$-9(3%k(KV`qHF~5dTE%z4s@PY2 zS+r=WKp(;_a)t%IGFkOjfG_po+)bzy1$_7?T2P#CrCGcEMADKSKGbS87d3N=deYag zp=};m+2c*k#!O;M;ozpJdNQ$>v{?%u>}{J+exb2sbmlo?Np2-&qwi+ggbKfH zO~`58l!+6t(kMB4>yR(3Dm_uokHx~08*M&~eLRkmuJM37`MArk-&U+qLU780w{g}GmDuck)Z$nRodgoG{w#V`%9uB%wVRV8dR0bB|Ht*~cmerUgZw7|hPp9^t?Vm=Ek^~17lqT0V!KBb zfWO^LCqX5CJH)N2QySX`P34f>inl<-xD($2a=U|eDBb1KsJ4J1`EwUe>S@!{36yO^ z?GnHk!K3cuPXLHg1|UFxQp+bVEV3EdmE^dZ5renLToQKS>4+)%9N&*wI`s^rU^t<{ zr%0u5uA~x0#c>wO>$q>&S0R{;00Qv7tJWAZ@d8ZCE)n7(!7faB@Qp(UQ30LZ(ZSL# z#=>6zobMmE$up7V(FboQHAAQ$7v%mmGAEwuYJJbCyKf(JkJG~gmZxb{?Z?dgJ{Rrzpviho zkmVo`q{*hBV?T3q+ngBtD4@{ntSuYmS(`lYMbvPRwA41u-v3;gPn6;AD8nE}>9)U5 zfsMZOLyy2)J-<6&JH*uUgo~4bRui?9BW^@+-W3Al1b;<5nCO(YS3FR0_b*!{o zoOS|S8Fl^JfUY4qedKNLGYPGyJ#Ap72;Lf@CQAp=s{1Zwu6flc7ZP>pgY@ilmXhv7 z+qY3_H%(mBG)Y>b7(%8W$Bn`>Ditg z;kiXwuO;`-0dfDqV`2KFZJ>yWfySY|ASC+}o>`mLM(jy;+t-E(`)2G?sg|T&&p1M| zoUztG78wdwe{HD%kvMA6#vc3GXvr>FGqDwMa-W2K=2g$=EeKGAAfO|eil(YrvDF@K z>`M^R%L|;mP?5??Ih~`C+E0R4l$FHSdXhjea>v&~^<#U&<-hPiZl&9AzLq~#4n*yi z_iwv#Y8Af*17{%HmL#zhk|~LgZ?}K_a-uTs+z+SAcKaeUv> zz`(#YF9^AyK`{{g`Xo%qS&L9{l8pCdJ($@EJ{2<^Ex(nJkukYHU;Z={b&+%Y-I8W) zkZ4*Z#AjEm|1g=d>~b1f-YPl0M6*^cRK08Q_73!wjawvZ^mIvy>!;Ax({3pd(+)5= zBIBLd4oGigJaSb|L|0LsdaL4y<9BHK%FHE_Y9ISlr93tL+Yr?gFsl|W!AKI``Pbun zsL82zC?fx|rq|ubVc+3=q)@P%#`nt23eR6s589)?L+aC-oGo1RpwUA1cMrx5Jy(B0 zX~*Idn@&KABjG5h7R@Ox{A9YrefQ?jc$`6j03I^2)j~kvmCoksS;TO8sr=;}e=#iU zI$c?tnaG97GVjUY$y>TJfJDZ0jjjIK&ak-QTZUm+Tb+*4{ZyUw|0y&zCArqKx9}JG zn8Njj^7L*b%IV?9Tsnx7>!HVsh4zAPn~lUe(uY#T1RcI)B&%mnx6zqn*Ap|xpaU%& z!Gy*661s$uCg4MU9a2zw+rXLy*cOiLfU3%bWH{PD-`++Yn^~XVRK_TFK>;S??>>Z% zy`u@YkiE;MFxQJvnE!|cb^CKiP$QM`fgQ*pi{5|;b9I)}gAocC^7iN}%GyZ_zR9Ib zsTcJuZ78Nh`f9~;>x3q}P?_%2d}G79I(jn}MezZmh^RUK*JEp={Q>wjW`^%6k`Lv^ za#ZpS-MME*e5i3^C<#HY!!>Tr@2&B;5`s7lsN~!Lx~EV?#Adjqcja@B7a-rsrcw!ajxTy)*wwZp<@h#oYPtQ z5ty-n5`WrN=|Bw7|EtJp^@sY7IapjwOC88V@ z*r_K~w3WW3d$@C-#RA6@(>VthIFonf`BH!5kgXOD1mvvNJiTs5k_9Z|BXYQg?_aea zM&@24DSLBxBU%W8@KA?3X@vbyP*XbgIr}kCF&W>F^vTh2qoZcG+|W!ATlz1R6D~Ws z8Xf3Kv5&-F992CGvyo0oiX*hmXRJxkUme9_<*9)Q8CeB%&{4$G6+Rl#^4;5!wI$7a z+G|M_*ucPhi5)jR?=_MH>T;hlV8udeG5zVM{fkuKJmL;ROMH%sW90Z_igSB7N}@hm zknj0{?s&|G4Y=ip?j~0;rr-EChBrjJK&|xFvm7qTk1bKGxhfkyqub^)h_C<**Q!PA z3_Qj35dtpv!c0H}IH8a@n7GRaD5e>MiLs2NxZE{Kn^nQku>qZRJhK|4?)!HcG|U$9 z59LQO!e64H_ej5V5dLBA$soS`xA5OBLU`kc@~yVhqI$7phClJ#memB#?K=HLa8(Ara^?X|Y7)DM2qQR+_`0jX5@Cv3Ky$JpGIbQqOT{NKUL zpV2>37Iib5S2z?PpDOqKsC&ZT9yqjWBl&ZFjNIBS_0I*+bGHWeQ6A-yHbx)49uXfCVG}IWz)X*b+&__`Q z0IS_9OxIgvAvNUw%9?%&cJje z+^{P^)^%AtKP@%t!)rePN?JjZ%A`0lh0x)+Ljg2Z^4XN~kJwA1w0~RYcFfe*R?8ZacY-hARV< zx2SbK?9QUs2+M{y@cedscy-@+#^o!u=_MnHH!@ zO9BbD$jK)<0_UBu4J!AbG=stlemYf|spYd$FqDSz8K^pza#&S|SqbFLr+=f}&eYmp zilE@24Dy+`N{wQp5Lj~awC?Q+9aSpVnY}w1eJg!wt(mAmjgU1Tu!|um)}Z=jepJva z5F9KPn+{LbK?#{{8ZowMgxDewmd#4wd(J*6f{?@P=ESb*^}zo~Qm^Zh&Cd>3n|<}1 zcQyDJd?m$B1aS5*e`+_WbmdK;V#J_kww|%8h{X(lz84&qGo!K?TM~N)w4v}YZRY-+ zNu3u?2awgW;GXU}D=jfYYWMCJYQjGcM7XOgFQp1@z6?R_2a(k!_U>+maT|y?hP%?+TE$-d!cv*hHd;GydOwLrY!9fly`+F-KhhP{pA~~& zh!Wn*|I`8g#T=6<{l!N74|4F|!haG9KRaoqU6{trp6O#gSzPR+I_^A2&8wE^gIa42 z_6xn%-D!y_f4fW=g;YAZ$gw2kJtdivZS;H6E5!1b&Jh_Bpy}0#`g$p7S&=Jx!LWR; znQWG;nCyl#1F(hPUnZrF=MY7uf*3_Dwj~(y=-bNB*ggm-5c{YWr}VR)N^8tY^)k|_ zqD5EgE-w7QKwWb6k@qY*j`$`ig!n!mS0s%}tA<5LNvhNo9>t&eh>67E^ABT={CLYs z*1e5?=k3X1e<0j>Iz3DEME_C0TzSIO^mb)$`~;cb<}^iyl1&GLgXJTZlnDg~Pa*7q z@)*(|kUEUqt^KfX(v{H);Q3+!mcb2h-28cv^UWzrCGzL((1m5spX5Y6N&gpnrR39# z*MnxdMJCL^rUPK=3|{Iq(apweZ1Y5lozm1iWSqUCJh<9Z3+cramIW~WJ7=rkbNZpH ze6kc&bmDYsnveNukG2WGV)hhQB7#e-h9NPep;UO+4*hmw#mD2zsYeN?@GQm9(pi4< z#N&j`h?%BdE5P5kfhx!~S&NPcNvTZUrsFr^*eSq6 zB_6MqbTUzu^e;r6!+Zyd&w~n}oX1cM%AZTE1|aiy+NV3i*3>PMXJW+c zRw6pGNw8h-JK}me63RRLssvCNhl*I%{g9_G>Qp&3EV{DvAJVW#E`TC4^sT89J4OiZ zZA?ZgcjMc0K3vdmivVb*-KL-s$qQgMUE;+1WO<8VevPTPjMv;?vJ?ffvUQJ1W8b&! zf+tZYsl0^&a#S??^4^mN_x-`Lj>U7lhK$!a8_%E7%Hp0oqU`Yh6#jc_(PymgMd&Kx zp;nuu@4TSSo|3|+IIrfe7H|pVQT@W4zSQ9{U0mW%jjpiv^*YLkcxa5AMN6C5R(9sz~#aM;l8OTs%C z^#EcA{Orn#(>S}6SXu2QQF#8JKK5ozi@J}ivbIz)rTFby#X9|Mei*br&132P<{WHe z4S$@$f7-;sKpCd(VPU0bg;J!mg$LW%D;hP{Sa$wvPDL39XYE$&H1h@=vj{K>G)0G) zF(7i7b+QoD&(-!+qSb4XaS|o6GJv~e5xOur1fW8ehrAVl)7Cc%qK?j z=;z@<%|hqVH=^AJw52PS+KlPrND}kOWUyA}-C}a~nr_n0vuraf6S~2LTjy`9-Jfs> zxx)pvFzC8N0s9(DL4KbOl3O-D``{1k0+LU5N1>&vT|9~zKe`318URzSYv-*uzWT9Z z4sthW3Y8|2wec2M+Dmz+{U9Y~fB(S~RB7$sMnorPtMe8oe?RT_;Lvl*U^wp^STIX( zb%)l=>C(r=BJTrBeZ}nkF2$4OHf!{aKK=B=vp2OGQwkD|(en{FFffAs(fciBpgS|& zyWLHTh2!>vwkWtkRR>_$nXW)q6o#M?q0RhwIXE)&9v!}d zjXoMmtL?#g9q$64)iWv_?5ZYs8d$8Kn+~zLncq)DC=cf|sUDX#ukX@5B#dLK=l?=w zdChj#x=n(yTq;I@@L7*!5-~`BAi;H-17dJ}OqxD(q`%-A$*rYgUWZVqx6Om$_u}!{RQO{fK`%CFG9gelUcpx& z0n3A=Uaa0AvV{|UH2X~1QNOZD>ffie&0lr?%`T7s5BnET?;rPphnVxr@?woRxaz&- zr#--w|Lw53qA(QollrVU`Bm|9p~4JEJQ zOv)1Z-2Tp@_}bb&K^^!nYqvB=|69b90b8oN<`p_@sAIlwjdft`g2OOK+m$3a=*gVX zk_<<5HGW>Zn;4+03egF8My3=!6EX@3_D2;Fdl$pnr`ev^K4rQ5IW1(yaziQG>9YgK zHg*1x_i-NAKI&gfIFDijM~3XVykvh8xw00S7ENi(caL6qO>d^QFJzFn#<;dTs(G!S z$(=&w@ax7>4&{5+>5-SV1`)Kk#>~xB`mnI{4a}Ey&t%`w2sQf0;jeo(lMwt= z`s{;)cvuh+0rq1O*&6}3vnkA2jY+yn9C3!4xM4_b1al#HHFnTVFZfey!*jT zjqtrG==D%$ZEC;0U1x8;UL%{Tf(j;S6-b6#YPG~3genjhW__%X;e&VltIg7PdltaGGYq@=5AIJQdot!9A?Z+&X zs5&m%7lOqsDOu0Ic@^r(>9-o_p~51!{%P2Ng?e=Qa|-yRhWy=RJhQ*u$V@#T9-Osc z7at@scPx&5Dx^~F_gfB5btI%$qsbb&^R4MvS&2ym)cLd@zevE8-{vh{kYytJj-Fz^ zQsz!iG@h{#_K2Upc67tiCu?QW#RHM=eU=Y$3-94!%qGZpN@>J@Rs~7F|M7)SHV8%T zo};P~YPApW3kUMm?RT8Y{1~q{UI@A`_`leDhv0eZDT4iG8(l?+8$iXXF)M<~TkX#~ z(xh~+_>fKmj8zKx-vVjkR`8sSE#`cGj zlB?_728y5eED*$)4r!4wk>~Bv=0dJ?GZhT6)LXCVu;r5nv^aQ&4uIq#cUmW@u(EGH=8{PTjWY4#;w%iIs)6! zFZr=2)z6yvHK0dP0B-FbDNy`0+lj+x#Tx(JhAWMc6?tZLFQx-(<9BE1qPO2XvLsco z{$fR{VU;A;CAIh0n5&V`)?dxWmbMsBbvpi*6a?CxV`IJz0j3N7P(WJ?X_Fg^<1G1` zCCoz>pKk$ar%sjsbK~ck>p!_a%jd+I5C^3(r{5Oxx4F_B5mM$W-PuE4UH#M-Ij8 zi%mz5p2!c|x+bLki*-M^hZ*CJrH|cz93W8Ap59!Ks6_6W{H}8^dms1kf}TxZY@8U_ z3H_f8W1aDN!bJF9cjIKN=Q$Se)yev!Fikn-ZwxyrVUL<$ZOGo`!om3VB=wvOoCaYp zZ+UsVLfmSn6j3dq zm8h`h#MmW_iQGZmLnGv32nj<_UVs%YxYU$)&7R7e1koOav_H^ei;h!`A$=^9nWQRf ztvv$k`?KfNAKHiL$rb;5j}h#w5pn{O3-7hW7*a>O)c%O6=oUfE6P`D1neG%TEuQ`A z5sWX~nS_OfQGzy)ZPl zN3o2)Epn=tf~u~UjJWZIaC+meOxZ-2dVqbOgeS80n}k$-Gc`gKi4fBgLqCcKL)n?h zgxYr-EFvp~m5z`H_z?`aSZ-91_-v9n8=dR4WMzIF=A12TXenRSsOlf@0rB%}g$OSx&mYUL#+&*?MMn=VGe+kl2 z3ZJ((O`&;YT=b2p+pAmrayCgr&66cC>}|j3YaLQuZ!^tofwsb4c>F6=bS+Y(w9l@$ zYKjaqi8YE1>3nk<@aL2F!4VoL@d{{dVzxzI=F>}odmD!F#+k?8=~C0MblI?O(gWUw zgjrg8A)o+ccLE1EFO>|{$Y8vS!R%xL337A{H<(nF3lBx{5md93bv_vYpZeaF38H)_ zXyG{bX&lb$N4u(Ok+g0SPM@6#2OFq8h?A(g{{EDZ9^}go!P-2^*rgG%DaTzG7;2*E zI@w0ahWlA^`eZl1yIQ|SZVcHCQhMzXyt7k}B~B8yTzA{JK3vo)bYYZq5SkI~eB701 zZs*@&X2a^gim2cbd-=^C5r9UrL9XV44x+$3K!|PIQ@O^#QK1k>bgwA#xW$; zts@|+l*Hb-sZ#>m0{2XaOx)&R8W7|sG@K>6!gAk9PE$4kyS3T-asgyucubF}bEBq` z-(9<#S=;qtL`v6CljZOeeToV77!1Bacul8&I(v*#C*3FQBN*f;+_|N*utl??_%nTG zto%{nIdiEwDct_z5Z?Ao@yo3H*jqNnfChk*!e{m(b%j{VvNzI!Bs(Q-@Bn7;Q%+kQs z7COZc<1VoP7_vyRX@S&fO~oCF{3I-Kj!NzDSh|#i1TOLlRt9sLRXNCMx6zmD@9bn6 ziqFtgrrC$?8-n(Cl2_4@EKR;$&D@3W%zKCg|6c@HWV(ub}|ixGXt0Z-Ldb zlbx8#NQS~red250x@+z9X%;G1^YKxWJ_;-7j{YbE9L%BHOQ8ffV^y!+?B)kBU3=tI z1uAakQMO})t#{nM3uN?`?(Yy#CYq$xKlt-yQ8~3UUB=c}OCvN>-s3LS^BDH4w3fqf zAC3cT+P{Q|N+nxBkN=9{j z?-t&B2K^XhyeFw`Rt;17#;NxP_MEWF%h*|*5g+VAF$YDvH`2Z_7Z+hV{F-Uike_`5 zWyaaSQ)-eo#vo;7z0OL;vkS_TIF%4s?0Bv9$eDLbh8rC%>xBH(qcq*z>%(M>7yI#h z*_;wuApIL;6hpe(*w7Y~=bmfbNe{*v#JBI$v zRfug5f~xgdVYSR_3)=4T=bfz7^Pso<=a5xj3Vx`dfmkBta8pwc{-zTZVf@9nnhFz9 zxTQ5|2b+Nv0QlLgdr+QcdqJ2_A)uTrr-0g^I=p`2q;IF%!LM!!v7ygQr?&JsRIL2+4B}k zY^lR~^Gz5e>METiy{9ED%?hLTgR;NF<2!D?CUnIys@vX`Pa6P7vM%l3EEW|F-%+|` z^|QEUa!2BSJ}hY%58^X1IsN?LP_95;TzE_rXOp&A=aYz`(?X%#(c+zJYCc~UvU60T zue;zac#gn63&ElKDTD!gugzt}0!yfSb;kbQKLQ$z-+n;4ATenk3PxR*sT^wSHpJgA zRgQqha)=cV$`}x>Xdu@3{N;^GtB*~=s3-ceg&{ve5`FZf?n|H+;jK+a;dpRt}UEvm;V@y9t)naR*3_>Fil^6h?%4@n6ZV#py^|H9y#pQJ0- z6Hon7o)G{QLxX5n`N$xk%D`YPa6j2iKR`^`#QJd-ANL8B zp*5~L(!h(e4C59<0Y}QBA%|^ye_2`1+f-|5UGVf0x~WY`5IJ1xR54Q>y83j7=lQVHK$>SC>Z3uQH#2wYtkhm^4b*cQ7LLt5mU zIdx+kF_>D{E{L+!j3giyc2dU)SA3dO!;JN;xU1~_=C1*9jI*Q;4P!k(!8=;`-s&&n zg%AxO!QQBUCc{<)Qk>es+prrjm?=8@skUEd_b`*C`ox6xm zZ)E+~KNMk*KIQ+#)mukJ5-V||xVy{ZHu&Q1x-9OxEW5b7%i`|tGT7qo4vV|HI}Fa? z@Nw^Z_q_AIKRW5DR8p06deW8iOBJ!4esdue4u|b9u6z8wI*lE{xDO+zNn^~!>Q zp-~&$#4cHK08a2Puo5?Q^y98>qV1(Mr8aTu2`&&eRFexb1d(| zbBD`=EJjF98wDQF_tGGPh`*O&By)qG_r05g59}z~aa-`H*XIy75e{g%MfJ(48yD+<*SehD={)pB-q6=B!4=#vNAq{Ty!Wc!@_L)v|M3v03``mB zNhzS;r~ey#!}a3MH7VPrbT*#@3l%E=Vv_T!_DZEzW=i%-nzs zPO=+Z;Ee0vO;D4(B!PRH3@?ayXv$A9@Rz&;GQ)+Zcv>LF+uTTyQd-kp`jq}Anclug zg!MtX4e1D$*+l)jB~fsK7pRQWr?bCLVT^H}A)F_4zR{EZJo@B?9xV1F;9HCxNUbZ~ zNTx(ym{mQN>0z2~#ogmtRLhSV%k%G9QI$wy8{U#!Yk@OW8U;JB&(nlfYC1u`{A%}r z_t|Jg3WS{>Yr;E(T$8m)_c+s6F*c4d9d~?w0?G4_CCZVz5J<; zBYv>mMt*)wZtj9JYo~xIK4hD}tSokm6ufUuD^>t7&sXp_rbh{{E8+^$G|J-0g_|CJ zzJZ>fyrO)B7hMN4$5&BcQKJu<=s*T>k_O1l`pTu{ud9*l~>`IPAZm=Bcs;Smt&DC%tL?IQtOMb@{kk)>{i@G ze{Jt8G^|SrO14^LBx`x)ZF7PYzfI2wKa6{CQQcn*86TI7dNK`GwReg&cQFw`$d@Z@ zdoIxk-cP_KN-j=j?ps`{U)9jH7WK+TRwqHmTNQgR7e~FmgmFR84~9F%o+FJA?`jBZ zEK@llh&TITGlIRadED@espta8MHySxbzq^drnFT^kze zX2@9a&*-Yv&EL@Ds{1`1@dadGi9SC9EQ&2>A`>p-UpXve^A^LHsjKcu*3}nA{(1Q>h>_Kdzhv^H z?=Kcnb}Y3qkSJY3BstVfXn>YlyJvAGGi{;e9D0sx#3eD}%aCMpQZOAcM0Fgk>??VT zDdGiq8pWtE{KqPa+E8hGBO@$oqH)aU!FCZCA(|t|jI(JpS#e2=eh3B2V&OkSm_Dk3 zJn(ex~1g%FBw!F$MCwZLIGt6 zX4!(4a5=Dd5Fb= zby7@UGOvhzZM0_c%NrY(Ob>TSIL!` z+a0!@85M-h7Dsj=0D-QkQl2c^6Jqgq#t@7iB&^!%CWb%GRGBH^Q<0-dbNrGTM+P6(FmQeCG58WjNj4G z3k6ZI!=R;ZCJ77P&c4pj&TE86_VKJlp-JVWI9_yorC4$A^aSL|BFTf6<4kA8B+=h~ z8nQWzsw#Wz2;y)uZakbpH8xnadI#^XHt-4>7oav7s@mx3l2|EZ0QsrJd=wMMN@e21 z4zWL-=193jjKioubG9;#D&)aq$eIvPi9-f|18Vw>*v|Ek(8#u=#vhv)7;>Mkfn6KG zY$T%dvby*c@^gzCJ@;^P-phh=VN|%J!6`$Yqj?lL6d8?ZYF={V^2ExLU_gVzPXt%H zMEac@=AiTyPVz?LYDV)pZPA;)F_>^&6G4W(Yef+N(Z9tm_O{aLH%pEEdN2G`jn*{E1E8OP^k`aOKV5EW6nioOzlG&% zAZ4eKV1a&X$zxlQ z5E1=HrdLZ{eoNHLJV05%jEH(RS|%T&#v++dWAjIYw2ky_<-&k9p-{EFh0NB*I50z6 zXAhv_k(S2}vPe-OF!>s21IV^Q4@%2MI5r|^#+ebxBEiu9an~9&s>2zrtT%lY7qb2h>kcHs8cmHixUJD`9 zZUPx^I9Wkb zHRZZPnzYP9q{!#0qhZrOKq%e@@mB^ud64nC7FU4BI&1YmBWV;figzkZ4;?r>3@eEo zJFTMBNai9%*B2mCb}WPB{#VYQ&#=@C8Ks`K>N7nzf4+ZdeD z$H;eP-e+Sd4$`_l2ITq(&7J+~Z#0~Axmscb&EmL-%P1qb1V|JPffhjS%1{)5!Qz7B z-)7|i1>Se4wgkW{RMWl^0>w}1TgO-L2^GAGZVovug9TS!kc);7NrL!SZLr`mJn#b2V|!5DLm<8E;$F$cFu`WQSK}8Q z#L&@OxMf0d{>X@ZQq>arq^`dVzq+_)Q+&EL7vURag;Dw&23r9F+ zCO!MhFf2>GbY#*v1Vh4<1I4(0!rjU0?X8*L|YRZj9Q#{P5D(@P|SEnhO$wN3`qdA zevSbzia-COiv*JiCfZaD`~=h2uz%5jgiv|{X^sL^<5Chh*ORA+lJ!MU zXd*}q)vLr?w6xwsl%{q9azkfaI>QwDZ- z*nC%SV)_EBaz@vXbyvnT5ds%V$2p^~QA8@fJKPs8E^sP=UY^8Yfd)U+@BX?tRHdd>J z`w_eU5pZfoWS#mDtcMSErr0+UP#}Rh3u@XAfQJNm z%M=7Cl9I@bOa|3N~K)S!q&g6Adv51N&q$Q zb%k)8*>=7cR=VL3x!cVwBzpa7_urMgTefp@DO|Y4%8`shkg^Fipxl9rwvi<9#omaH z;Sm(;Qv*RJ=HBY5vupEC{*;K)oQZv*S!&6-= zS~l(bEk;%Y67F#tiqu{~X}}m5wGgE4)#xKykT&$jELqL|g4JtTZT*N(h}F2@UY+Gc z`3**{JpBwUyRa&jytOl!5V>z7Totc0;ynlz2t6aedN%>H6tcFlo0e`NrJg#6if5xAa1^ zvErO^%gWK`qmnQ_oEABZPj#5Io9D$nX`h>akhu-agvqbRh|rz^xXkMXc?!JnZNrV6JCSnJ!aaFbv|Xa8#pePD>FQjLr9EjG;bfX`&aPXmot{KN zX1HP=7s!lLq^4uo*18tHTzpC$Rgm~CVtuG^mvAfz;`#@^3qlwMckWuM^LRu1%%P{o zl~@!yV2Dtk6mQ_P?t)SD&0Sy=fe(4sygO^(2Vz3!#UPL5%t0n)U1x>{8RS#)c|i@Y zycb0u>JSRvy};e+$l#LBa_!6bO4pSH=B0y^N*2-?cO4`+iFCWEL4`84jZj|lDdSuc zZYg$cJYb$6fxcF!Vz>-WMg+mE{wbBJ;CZE10E6!*I9j}sat`S!ZQ`6*T(Y5)(uU2i zXmkCMYc)?}b?wX0dN#vsLliYsRmN?j*as1wJuE)bQ=QYQ?HqaYR~j&dq6#9@mnLL0 z zfE?`SK_*j?fwPdtBovuOOkzt-ERr1bAl@s|ZFneWq@SP~hxx7mSX=<2ctdP-#Mdi! z&Ibd$;+)43`ew^j1GG5<%2ry0qOS-$%`iK7OwU-Ia+H5Y_oL+LAb_7(EPHEqiP$=+#BNx{WVmIlQ< zyI!#Ev(X=!i4|4*tU*X3;&Lr&kSW?%(d&gkd!EvEfZBd}rUuN8#w&!KERs42#FxCp z`IkYSF8fUC`3hQI_i_%WCXLv6Y249aI2e)Dm}D6@XbWA85-AW7|I| z(zTjl;J)JfvM^h&^gh(}8zW}9Qxa0A#&$M;owD0Rh8rxFdO}6E$#oq2mMf#SVLbEt zoAMnTwBOTk22cLP0^gR`87;j`^;E=mXU+ch%UlY!)>Z^y%~G#|f2}I>OPa1r#Yip^ zTxs%^pW=8-j~@o}pLKlIiaF`x`v9EZ{u|f#lw$-Py5p7Sw|}N+9R0--_M*C4NjkWh za12iM#Av~_;C$XhdYG2ZI+FneYxp>~B5(!bRecS%$3If-lz`H$ilRI=2QAH3Z^UyR z*3yq+t?GO}jSeB!8bgBCB;R+lh(GU7{p0 zw%jEWz&Q(YPzhiEBNP0*PBUgUrFpx(vwcC;!C4=-O%AT+24-7L802wEF{O7lY9*?P zu;Zq(iDtOzjKhT;swM-Jx(YEYkmWXdL2uNR)Kn-#kdv%aa!h4d(`P?Mw@Kb%QXq-5 znZN?((P^EX6gctuG9RaLU#W9Mh$~ou`|&&~^tzxUdg{BeE|B+BC=5EX#?tFm8KIbP z>7Z~G=Y;uhU=6G#nSF|Wi=Iz=YC&Ffsq*fVC60s3ExX?{IzDtbMCCN5)iGfP5)IJo zUe$4TZVV8#^mn*KDFBm8xhX` z-`!_(|5q8>@&9TY){p*=EsVMQ$??D9+%5(`g;7m{563=beUc`icm7x5f7~%b-v8C` z^Y`UP`r(*`Su3S}#VQSbn(W%!S8P&If!Wh99q#kcun=B!#A$Q*qfA9vH-U2b& zDlrId=KwAFmomL}y}+P-5x=X{tpb%J^!H~ypit$RD^V_x<*Y3`B>EI&aYX1SU>C}> zxH1yG;zz6NG+m<#dXV8Ix=JN$D zZH1$swPyAXrHy%BnEw1N?Y(RzzW(D(=dGmsJ@exgy>IX%`JE2!qFEah!J|Umkjd5u zFk_%AfodR?x)}{Axso@5!AW_>HS#Gf5x`Jx-kd}{Nh%?<60=N@1}GMv%ZuJ*XfsE0 zi03Q$Ed-3th8w~CNlwZy)A6XOivUcb;6}end>JHvnF-j%I+{MXU&a3K#LSJ8@cUbW@Z~R#J=7j}3!)WY%-9 z8kkDFo~)I|bHX?bh+Q4n;+(aZ+};RT4Eklodwp7K7*bB+gz-Ttte6S=7V5&T5~Pz{ z*9lUgO5Z42u;JdwRZ&uFv0|}2PL&z(olC2LlF1|`4z1hlrB)(U`>Hu`-sk*JF!;Lr zWaDZRLI`siNeMr;$8pbc&hrsiD22ld023u-pY+Wn8LO($uCI8#SZ6tP=9oWn7k zmvO{fjCS=9vE`K!vd_YcdW=dc97j+;E%xlx`~4n95`!wl(L>(Uhq4YC0Y64^ex>{# zz8({oLPJubSDq|PF&e8vTD11~r@Vm$=2httU_=R|RoV~A8WK8NNpnIfti|PFVs)l< zJBfVE`+_uyda|JC)I61-jG=eU-)PhEVRj?45$!w`(Mdbg^anv1 z#&iCmRVk=6S`6ZwAdM4@^=fLlTGE;mkkt=3{I3ME`Cn!4AlZ%kw{e?(gF z87#A}CkWJ$gZd_WuH|KgW4>9Cny~0+BMTBGabtkRHeowPxoDt&2;!Rw_S*AEO$ zU^fdMetHaIL{lF)x%VM~TM3~1Ri22M`AS{#SId}g4G@HKgR=8bqY|d$uyUiJrjQqD zjP{atRRG8h)tn&$b=06n$Kw`A%ZuP+iiKp@+Eq<9AxKD0%7uC?v~JU&N1JL#u(o3a zB_I8gS30g$nNEKczY(*){PVZ*QkJAdr8?pvISD;Z?m2{KCoqs}pYHaq?}Q zd}5+7(A+Sw#2*SruJbF)P_N}F;Pfy^i9s#EM284C8)Cj?qDYr&bifTr?8oAp(&$9K zQh>Q>!c!>!jzpmq!v*ibgVspOEXxb>lI_ybL6a`GpzA z#d(>&q=!P^=^wLA1X2}(X1vm|ErMF^f)DZz0$hF;tk`dJf-F%~9rp&7*418L3;~d< zaJmL{&#yj551OIl1Sj!f&O}u2Ipl;hK6mtM&nB`cpcCA`AYtH?9`C|QKEqOe7~C&Y zd41N!6)3rfSH!_7M4m5|R+P!S3^=;mN3%%k+AO&TgufTqD1yCOCrpRh=h+Gj$VEBH z<7+vQ)JtQQ!^!8QR~;|^^~uvUE&Y9NQR9~|Zg%q}7bRe==Pk>-;=s5}Do&^1sKnyC zh&Lg%>KJz)DcM0&bs8tN&6_KRKfXc~Bz${6_TAxn%bvD+7RX<^d&qeIF}L-NxccOh z>#ei6`?jyESR~++WcBQ&;8!B*t>DMxZ8(>^boXP9?0R$Q&Q0`xtkBOw#oe|8f$HYJ z>-$KENfk!&ut)Kl>%C2rsqPRJ%U;>) z`3>L16>VU|6#otX?zE>`R*Czi+Sr#eOA3rxWCrT)Z3zL^T z((0rG=Q`J5bZm@ZQ4SZL1G1X9Gp!zL!tzEeW6Su3Qaj3lll&X-JN!&@x^337zgE`P zh7uE^p*-0*?1nQCc_k7Hi<4P!m+fG+M6yuoFJvKNd)_#qI(C|=cgkhkEmS|pcT9$o zwjk*h)JBTou3_m`q@^29U5;_ar;RSp4V_?>NMiIJK)TlIR6xt_$S?J0JW&-0#RQrB ztaxjpzE|1FzF`YFC~IJPD${)437f;hg69lbBTvN$@7`gnv=c=zxJrw$pK5;@7bJy>!rjx_AleKNrjQ*k)1 z0hV=0Mf(YhJt?0kGj*g}+^WW(EUG3(m^28{Bq(L(LcMYNfzXZ%4PLcPgf%h6gaC!| z{*?Ih3^zx_{4YM)+;Ya>cTm1Lv)7FPr7=w+xp3C$*WReBu~wh7m8mr-RK5WwT9_w` zy$CeJ&#=YczIp8za3RE&4bcO;Bo3*cSVE2EtNWqGxnko=Oq$}+)}U2bPZgyA;LmnY3Ie1TO{XNyoI)c5+Xj?1y- zPl;VG=We5p8&q+S!Gzg7oELB=MkyL+iqvh7uT_YWyony1D{zgHHc%feKH`Q2x$MQ2 zRY0OzXoUvI)I(5IN_Ry;NMyNWvgI< z+8dJxqOGfDfWqMf=&26aUcfC6)r`M5@VHj~SH6w@=lpZY5@TjM>j}qR%vr=%)tv(&AJDU|*?FMjqM;OPhz5(q3{_ zbc$~)Gsl%r&OR(?O)JIvo2{SpT?P?7IK^Q0MW>CWwP27+U;>`uz*10KGFzJ{_&sz~ zds>lG0eq5ImM`wnD8rYhL9c344=`cl!$PmjS8t3<4@n`Ga2g84O_H|GYK((mSUJ(5VL2&%iOW=`lsnEP#P59tut{qsC^7*!at>F*6$t z>OuV%?=n)iJBhau@e2;mHk6%+QzD*IHvof;r_$k8Bdvw`mxAJ-z&lMdEsX}{d@B3x zUirmrWTPMes+V}*bRz-FXx!Mh1ZD#O_h~z@hjuak(i%JfBp)0omc0-~#$a>Ev%nsTA57~&gTSa-g@&8&8B5H0QbKZM0ZtY$}f&AtQM zOR}W<_#Ibx+<0DWCDHN^Um47`y;f-pig^ zX5yl(mUhMXxuWbl$$3W)q_O^%T5d@#kWCr7!!9z`{P4g3e<4S?%0GQHn=*7s{vOtc zse);|2qLwEeW-#JyL~Fzg;Yx7f->xhF*!7qtHI z$E!p8HB1@6+RpC`hJ6)auy_ieO-suegz2W}{1RZxOa=$*l{d?R+ zh=-$wtw`vci^8`0!RTMCs^2y5{AXI*-u_SOMRnMBUPqSoY2>C<`(>_Fd!xy|v19+? zW39P`-B3XZDBR_O2WqeSG*ZccRsS_o`OlsV+;S+IZ(ZGQM{z|67$xwHTyI*?2mRP{ z(Fc{*orN6+8Tah2RnmN3RK2lGx4P~Cj|}7QLw+CCA6Ky- zkB09@elKI1H-;ZBquo0=AP16sZ+sgx|3f09C(+L^LGKVk36pOAfz#TDD3`{7{C7{m zs3X#h>GoTapzp+JE=yupP+6a!c6}6r-pGhTg8e~g`zNW>2k?jiGS%}=M5T8XED6L2 z%z&E!Q!H*h#0+tM2Oq`?up!-in~-~aKJO$_yFD(sbQ3cmKbzbKx$ECO3kCvB-qfuw zeV#;jvMC=h8&;PjW^^OrKucPWl|3rKBS`>ZV`P#R4{C>^!F#&&nV3PM(X`UD!!j>+ z5s^qGlWi~Q6Ddo+--^4@pG+vaCBB*Gi!7CN0zm9Do`Q3K6l;J|@MhV9 ze^YqJ6DJILC+{#xbR)gX69JqFy=|Oz#KCmrh1nxLi|G_g6Cuo>uGC-{2f{%rP~eOe z--=V!X@g7W!w!cm9gVjVU?nNf<2jumISM;e^x-*u=7f^Wmhvp}Mtw`$jA2q?x(uZr zGpfo*Q`seA@xfzUbN~zWsi(kvJX(h!5=d3w65Pwq-BfpL^39DlynI7rntUMiwsNsO)k&GirCNlv*NwnZLKuP8f%{#hpSXc9sPQ}61q^vYXJ zT$=iKu?F!23cJU$qi_x(P>!;KGplSwSvh5P#lu#GRq{TY^k4fmLjGUT3?^?CQ^~pM zDh#G=i`9f3NLfJ%UOMZMW7>Wm6Z4}>-WjJzNp5~>9EH(L=2VU+qAjRz%+*7Z&}?o- zQq3xAqy_a^=0F!8f6b2_$M$^`0hdp2ZJ^#jmB!-n@3wei{vsI^8!UX{S2f_^K3F;8 z@?H)Sg@rGU6ax^b=QuDU6#a!L8--9pa#vp7;gzmBu@01P}}fRiA)r zY81Dy8$<2+j(o5MumIf8UZ807bh4v|Td8;o%?W(zbs{pi-Pi?jvi$e4n=|c!L2bhM zlxsD-f0XeGR4>!||9so1J;Rh-4F*AU_-2yWbP)#3^p%!0wZhv#gBqu zQR1M@WW`_!(K?F4^bsBAj$&R-kTA)%G1jKV5l`Z<^1SLgEe+dLYJT``n>wdjR~jnS z+)U+`+Il=dY^;LwOZJHc-+6o?O?BX3HmaM$ea)H}1z)>Y$_=XcsYzR>;a1)ly7<`m z&Jsq%i9Bs)Sy|V)wAfERY`u$9_0wYk+$;tx=6>Bth>0;@@nyp*XtC)eK_@`zumie= zdm|p_PQ&kLSyC_8QU5b_^HEC+bYx0+2JkI7 z?Cum}sOp^fhKJb9gqW|8Ji=QLp$e&=DIshluV4iET!J6r}=I> z!Bifj+|E^>CrQ*+I74d7foxq}w4R{@lMvcdnGTE5H>Gr3Qv^}=v^XB1yuxQU!E_am zxYWT>KadPc(hJr=g@O z-pJ5VZl+KAq#|8Mxm%frmkQ5)wpNmT`^Cf*Pf~ceMS$b`z+CI6Y)ZW3&ysQ(v;XKd zXh86(HG-Z}3s-$bOZKPCUck!0r-%Y3$+d2d`n&`R9NadvwQwnT##Ubkz)5-9a;~3V~hcM}V3=iay+#6P|XG;DeZf^rm6Trw6qd`*=9e1k%}t~ zlc&$;qua9OQ{lSFb7*en-diZ)CRAaE@ehmUraH#GPtf>Nu3U=B zVV_>>RD(#sj_s;27!em9&9h1-;nMjp`yjdCbYSJ{h8!kR+6_oDAO!xWXp4q!TV8fN=3`>jPLl=4)in=`U?8~H1eM`R(OByo4$-s#fd2zH?7cf z9AO)BScF0>vl^%Poj)1OzZ0OfW>s0VoG=qjZfJI0E=zO-l~A-UF13exbgszbncc$U zQ(*VIrbX;oTjt&E4)aEF!m{17XKvrs$7>dEhDGlJP6B8z#K zXwK6%={B9E`WJ8|*xhxjOM`6c;y<4n!iz3EsKgqiQ!#lj^piq?O7X8~V%Ud^t6DJOvrp%Zf)c`Aw^3m! zp-WHFKZWM%K!$N+)xB5lBGBT#uh~bf*~3$AM;4@_nFfe5d=rOlpU(4K<1}^xU5{QHS;I;s)nS&~Z^QIWquE=~Q)BXT{Z2ewgY*GncOdvviv3S- zW+&Xd18QLJ4%DDW!WM-`sJF-OQ}t9ZY@c}HJFBVTo9A`1O&);Y#15g@Z`ce8fu}7F ztg|^nA*$Z3WRIIPvw^7|=6mEjfi4_V-_?0LhC&}ZUM^avt`vsBlzAsVw zzM!NC!#LzrOC_9%;e(~;xk}g7x?C5pwsy2@PfpMo{=mm7{kLxNRGma>A8D3uX{-yv z)^otyDn7N~#4};*x}`WvU6xCT8MWa1Dz;rB=58MIVP_I^;&M%)XmNa;i?Q z^IMd@nW*y{>~%@cnTMqBRF?t0vG7QQzz^`qwK?n%Px@Y?jLsdfc{O-;EfMCeZ^`^~ zrd>4*O%0pGUb^m<2N7JGb|1$?k68s^C{+%a<-|wA2H8Gw+?c?{4##%i3i62SdKHYA z4NOd2zCR@hRT@6bdp5?*ocsW%mEL2v>iSGAU31)+c^`S$Ui)fg8SG$Qdu_WW{9tJo z_@;YhLZ>4Fu0P=EqjFqf^`sM`68ZQXV1nys>dqa~_*~FFGyDOoSB%84rG%uHchwbP z>oD$Swaos}mPZaT#gEfjMM0`9cgBruiOCy~saH<73*C*^{+mhg>*xHttSNlbd1>6z zvGxFo%+9mkI0)*Xl7jm2b=+iw=Sq5=p2Mg1PQ@s`-G)6H-Z~W+b}eZ68jm~+wcX*j z9pDdiWX>shD|a7h?D|eP(d@#x-Q97VO<&dy2+bwdvuvCv*5iBRZr9VC$l#S{`aJh< zRjT6nJ-U5dS6@wS{d$Q6$$cz+>nByNyFRs_@~e#tfWd!l@-_kgDSbnyK8n*^vXhwQ z9mT?ZZru9Sy?bHB&kpv@`2BYB&Rx&9luwdB?>hYqf}&giK`Z$M57@*1(s*>DQ!~jpr;Zu_byE z0gtEM;;$R=b*?a|xL!KhN7EeaRl@LRZUmU|Y?>o(Qbb5TRRyuf5HYGzxx)<8IhYUf z?f#aC6nMCiJeexU{1QR!hQgR1V}7xMNrkYWYDV&b%5CyE4~*e%X4ik>8yyp8?s8Ap-n>%)S(%7TYyPFP~!HpdqH#T%9r6G>q#$SyD(5Q z&XiD-r54Qz>H7(*lm-E3uQAP1yEKu{Ze;AN`yH9mmhbi+dejQRrKq}jRE!G=0#nni zfZB*wlRQ^p)jEFai$5DMeFEAnhVlD5vQ?M&bt2E6!Ann;NQtvJnHqn3#K$g)U(?kx zN#Db89`-_ryvfk~B1uiAhR9}8$_&y2Dc{iDJLe$95XvcE;BquoOfvYP1oz2M1^#h6 z3?A#uUKUa5x8gu->l!q$WI=si(#YA2F7)cpGyx;BJI5e}BGGFliB7Z^-2vz%9a@!? zl$0zCS_q$e@%z;c<>qcAWYa1{g9Slv|x=GYDkVA?=RR0{l5#tc{;mR{nknNTB z`h0r+sY%(bgZi7J(M__Ue)T_(>uQAPze?k~Yr)@6Jays&|9Q#sTw;IjE-c2zs*tvI zv++m6jWn@Dg-sf6q&m{1g;CN{*Bsx8kdt!F?E&DQvWb^o*h$mRar4P#Zl z)2%cklL?0(Azhd9k;zOPHBO-m-#awjUN3v7`|hh5>f9;T5XWv^USGcmp5FM7-h*C1 zfm#O1bcg&}=EA2MoTr&TaI2FZuA>v9gvp6q-UOqcpP#&ogw;t~>41#lzn`&BJoX#% zD*fJHOh#V}mA^y7;6Ok?AV4Su9&5%ChV8b(LqN0=K|uV6s%m0m=WN0(Wo%|*DBhU$dGAcy1=q|^4cuw(mhW#s1d=+Jnn>9?N!W21sa*igh-MAMlm z<{%Pi=*RbKXU+#-l1D4lmL~Xf`_RgW71B`7`|p6Acoz<(oZ-2fpIa{aTIRybh3^ng zlw=@}dpm8kX{AZ1m0PrJG!kTED~-Qp(e6FE*2Bh$ZF6_4*Y;6Y&mcu@V36a zdSm4wbZRpXx7%f`yL$6S&|W?I5gi$q@9eRk53}=a+nS-<%i;O^^zmcH#`oFR zC6L?1#ruW*v90FB$bIvnYjbxuKj&1J$T~^J%gYg~Cv5M-MRDtUGa>}n6pNyt-^*z= zE%{wX>&~3%Kw)^=)jg2sa^h_>vv$NMeNfe9^YC}VgFrXF)vPn32!KLA7bTVWx- z>S|uXTH~N=bc`R<*0BxP&o@a|7g(sAyQsMOQ$Y$ij|BT&Cq)(QQL7gA>a-L$UAR&^ z7mvvzXdcf`bBjojK)1n4NXuecfI*u|mMT@Aa}{m4rj2}G!;?7JTx?(S`JvatLb%@8 zd1HJ#iU&K$#aOgnwNi(^3F1}RltJ59#Zt8wsU(?7Zw6Vjranr+J{(6bP)|Z!^-Q5j zdXx{!x_>+K>$XZC5tJ%5y*f0M@C76+(2PMPjrzP-A#YMKiCFQccMpNzESWkqMZXWy zG`onoMbl^|P$7j;I@(_&AgiEE_@IzH19tHPIW)Phv<`}$8vb(^xJ)H^P?u_3O_r~6 zqz#l&s8p5mCE;eNSVaR4C3LZ!I&}<xxc?aqE!#77q*p}CxKZIU1=_A(cL6SKt&a=pmk;rdNl95ZE@hNLd5*V z`SxKzYTEPK?|nTvHtXVIj4w_YPGWgtR2BB>%tk}dB(W~EVLC+Tt6o1(_m=fN2U8RsB6mi#azIT-#!UQs)g`}G}PcwZ+C(>oH%6WLAIT|5QzADz7 zP{}bNp{q&Vh5UjVE2_V7{EUdB(L{R(#BCAOJrN?_ibR(FawAqqk%_nJ!Io~_|G9;7 z#mawqBGpWv#tXhqvs$oDm1wFT0XPGM_QHV)`3_m$lv0Vys`k(4$gblh7kmPEBX#r7 zyIuLAK7pBSiVpNT=8NysIG}3op*`mq!%#8O^ndcEVFj2ldx0_+@tEnwRCVg{PZVRV znA+O59$dBmb_ob;OQkz^} zIOI?Ot$kZnnw@!!25?vZT8>H`MB_Bt^E}G6hNu%vr-cvEj(2O}A5CtYLD!dS^lVX| zba2dTma3#$%;6~JJL-n8_+SNNdS<8ENv*U{JkP95mG>9uGybV-yycJf^>Xq*;)4fO zK*g|Zk>pmbUNJvWeHF9!|K4rvKs4Rw2JvnEAUNesbGs-cIomnY2L|FN)V^+Wr}amC z-aG;vJpBjDB|J)g6j?ifQD+(>x?xOO{3ov+wH=)j!APc`H)(40{sEQT3SIOafdda3 zaq+e@je*qcucTjx9NSuS)@MJ6VZ?uXsc;vT#qF5VC%x7Gu*@UbID96^eYb$X+5we` zqkbDlp^b0_PtfNN*Pd;m6?~^g_k!0)9vB=Pr!q_*S#ccaz59hE$Wh~K==FbM4@C|DGiSJJxsgWyy?da3Y3-K>z=idg;$~{y(dHVW(bVUb79id$-05S@CIN z`EHBe;Zt0@N^`~V;s^(oiFZ*#r9%O;-X76d=7ewZ8z?eV=Fk4x29Vcbc1|g906Bmh zAz+*J$J=4Q(&p>q;f9;Y+ZA{y)$ZeU&d>MZ;?rF6ZF#wP$*g|A8zS3!JyLj8_A!5d z!v8q>xJG{7Yj^u}nz-rrFqcUTjOx_5)-2NEe~m=^__a`-^n_pZZ0L7?0s6QnPvk61ih3?lC&GM*cwi&ecIuvIYh|16Vaa0=6S)2WO;O6J!^Z*96U-~`9 zx4pbfq+a?yx;2E*{fTywE{}(E9>2Gf^p~&ef-mP2Zu8gO zA}@Yh9bPZzAj8j^B|KYOf?n@KpVZqmH@~-w60?uHShCNO6~m9`F~2HQoRyBJ_Z6~_ zGabzBdcWt>3m~iTd$XBTK({woD1WMWJjW#+^NCgX*)Z3~#gK5ea^c9(=XG~s ziB;Gayi&qyc)vB1`hFMdW|#AJ-!9VW_3~im_q1~CKZ2*GvdoX39=1eYY~N$vk6AzNFYWxi!Od)Vg$l#f?1*=5e#NvNA& zff6BeioZK}&aZ>dJFWOoWV-uVbg6jXZaVuFwJoI^lDO{0jaq*5>2nI*<=Sn&y7&H3 zNeBeTTlz1jR%2ifAt4gJ?dsCybUt0b6yQHR=x%Jp;W50HOvW6M1sIR#vTnY+KY)iW zKdwu1H@g`9ASgSrHpnateSJT038UKm*1Ml>^SD@TUqge{I~U2GbC<$=9Du~o)eE6d zM_jvu6$FO286OzE>t}1BzAgg3ZqEx|PW!MjT_dG$qNpziT6;Xr=x>%-T_Q3&J@FPm zO<|X(g~thyM0ZBe<&+A}mvlDr+Y*+rGedgXjC-w5((acNHWVV%F4FE+pJT0N0g9K; z7L(9{#lp@GiJ_61f>nO^A_QDOv@aXNm1`wFyiT+dSN?D?T-HzRclzoR!R+oA!x(;%co>?M4MrG5|jws@$uhcb>D1Gr4ZThp{lV60Y#FaFL9uCbP zY@Ai1f9g*@{(X%z6uB$E&Rl!bx}1ntGpl#A0OgpT6YP8r1^V}XH6CUqUAfInDx=!- zW6p<=+vjrl-hT8?)^+hkbgxV4^?%PdIMwg;0zsc0C)-$^FF83sef}KlosXX!yTiZP zefmF~vPtk+IsXp;lt630`YC?QQ+%TL=P11x-Z~t?pQk@rcmt1nlcyEOt1n5DW96j( z@ZOE+7w^}|>2tjFOH{JfLd02z5b1|lf4F_ROtVqY!U=KJ~I5gMzUz+ z=WX-C#qQ&J&+%Zi;jlA#h@)?Q_>PG-=%=}~3snh8JZ=mYDhsN|1;53WgL_&8WG5^R z`%}#4N*M0O1bRQh__PhrPMD4ZA&zuD{^GtL>)dNq{x6PwUTb4QRxXA=+~yFq2|C{^ zQxS`QDaVmclOrHP;zBc#mBz;8qjsl$u4#6p(KtnInN*OVj7@{)fOHx%uk;@v$$R?d zNwXuJ#-K5eFICQGZzj!->@+rJ`sqZ|k&Udpb#WU%V!0oi1|&IK2s zI`JUuiCojH^T(rCl@Bxrq|<1agLwF!12Sml%u@I^MzbNEX3P>2lT~?+=>gej)-gRG z8*e0We8GXYfow8}Iwg|RK?VcaWF2YbiJ60}2eOT+pt;w;fAQ+e55Ky(H#g?yc{TW7 ze)z@B7jJ&L{il0#`}~*q-RodAO|VE!Xl3i()YS`aw8{h1bJh^jkaEBcVm{Oes4ay{mAsj zw2xl9fG_gKyP^VC(CbP6;#L0yYs>{%$~1Y^{?<9syd;y4GFcc zt@YxaR6?%WVkbvJ~JiB=a{_Hn=Z==rNxJGGP42N8!|#P;^S3Yg}V zHZj=pkxC_Ht(0%z^8NK^AKyR!U#?Q75H2Vi4{Gt`8;`QmOiKyKyRAAh6`#hdS`p>+Gj-7PuZZf{K`eQM3#eEh}9 z1kf5cgmR`deH51=<&;@%#)ByJ%{QMu*6I53O_+JAMmmpXO8t|w*-aNw>78drLA1{x&HH6Cn=`1Iq_yKyza;4~aaOAK$$B*Ldrw+& zzs>;P4M;GmOo1}qyCEZLbMx{jF`G+}tf-jZhKdOF%_n=epZs#A*21%{uEI>Uj~v zt`S;l1Yej;a%cy`lK1)ddo8tLa~)hPM;wKG*XG}AsT0g)nS|uBJTjGxn8Uw*_0_ju zymqaN$sDI~4&I!Jn&_t6_jSB+`83jfd=gwVpK7pn42`rsYVCj4Svh#c6gzl!ByXRl&Z?6qvylsC_tYWJ3I=VV9sC8Pd z)>(yiBic2OwQG92v9}u@X*b+_bASK*WcO)T@d&NIdHw47XWxGHAXnp03SYkQKjvn65aYh5M~T5Q`!XSSEsnHTaC?$tx>snVX=+dK28Z|*+( z-2>L;v)`5W^wyp}^QUg^KEHpUz0dDUdung*$e+pdy%rNk$pNVQGv0tXGGr1pV5osC z>F@70`ZEI&suQoj2q-?u#O;&pnWJKSzkPZC>6h27_P;1ChrQ)9uSLzngS>{)qTgC{ zoY!S}GnfEQ%I~AkmibG2M0?`N5-l6M_77${t_X|GU43HPBZ1RsUD0a@gH78{Uy!X_ zOyw1=0xdH)&+qQO{q&1&?jPw{=Wg^~ykg53GkWon{&g|9+*JSDw|-fwu1Jf%Izj4| z74nL-7}+RD?XqxRkwWuK5Ts`DC$31LTt<%5H+Of7BXdOz?Xp%7fA;bH;$2DNWogmS zGb?4SYXjED)9jd}P%-NT>BlSSd8%q?n3cnHzmXn$%_27S@yoBeEo78uTSlhv<1b!* zN%H+dbbPmwA3KhnoLo-Xz(;EXXJZ~%17C>-o^K5tIq>5H82R)2yKg`0uGnnhyxzIu z1k&6*&PzspUfnn~`}2#%-`srm#q)3X@It(;zyIad%vsH@9oI>^I6iHMJ)`bld(3Z= z)ul7yZ-HWY(0##4WB21t3OS222DIqWqIs}IQ(E*}i!L5pECX~5NHJ2*%)N=C0SlJw zgDly?Qg^vh?Cn~2Wa%olG=l~ggk&DRc*W$+SCNz->sH;o`1s}CZB~zTo6+k7V*(Tc z$SqW_&WtR|Lp$7KxRRFffK=n_3M{ku{FMLsn{T$Y3&2SQ{RH8 zT|x6Be3&P+)%OGW5x$D8`IdX8;tb(}xLKPST7E$u)cHKX$8t1O;;BKL#CE#vRA~mc zC$U!Y^tW*^4?^zk=^%Nyk15sjMew2HW_9oF=`7dwfNaVk#WQtf#LlCU-@7F${LRaM zYb^K{T5jh-GN$XU4hT{REtsCQjPN(Q!});gBAmo2%fp4nI16VRnqPdmV6KKS+IrOv zk}c9pq$d3yM++Z4a)QlT(E8AVyZM;4aR2JXPH5bzYkR}jq`u?8;rAni=H{bK`^@v( zkMuA17S25Dn~yKf-LJ%Tm^#$acOETH7~CoSfu91X(~&f(`#gi(we?QU zTkevPtuPmqP37h>z@0pcp64gz3A^l(LZrzv3?zEly+0r4F)Hmfr)pi#CVulN&H33z zYXEWOI&3=2@jm1e#3$wx(4^h-3F4klP82*vw1_h6-L5!VD9%_t z2T^Xh7>n>68-9Q8cW#yae&&5=j>E=??D52neXmoS%)bt|Uw-|nO5LZK4-(b>;ZA7n z3=nX-bjla9a|`*enDj^O^QI*oC2^LF`~x3tv=$3#AkFaMfROTa9h`TD!Ml z#YJzGRe_rzxgpu)VDy2TiLBfp)9=vRkPkN~=44Q4Yv0TQxd8`zB2UBJmwT=^O zzy78K_n-e;BMrX! zD=XN z%|}`P-d1h0`Qfp$xon>EK34w7hOJ6xm*c^9!YAm@Y*i(+QPTJ0Mc%+6cL@zt9kf9< z&`ziX{h5KPY&;Nsl#4HN@w;5&nXRgZb~*SNr>>%fGh@+=1ZSL)DCWqAv-~mdUh~adRLz_fW^#Y~xIe-MNQ4 z&}JKGtd1uFT}N6UM*3`MbRB7Z80oWR(RHNtVWiKNMAwnlhmk&;4qZoDA4d9Y6m%VF zeHiJp4bXL@^4Z)`yWk&uHCl1RO^CJf(FVX`WH=Ygo3E+dyp`JFR2SR-)57 z2`}$NT=igA1AU(GRSR|{(C6u1bs#)w9EtYWRaFPi1v76p2>J`xrLO2J{XY2mY!}*s zvC{9lpfAkCda;B@QY8IZm)6TAN7*d~r1{`1WkN%cFTPyEuwUz2{I=ghNP47d>pju? zYkjkvh4IbkB(-}C_-iC0f73QDO+8sG&8MD>eL-w)zIk~#c@mU|%Q;^o4Y_B4VJ@Tw2wKR+}QNttxQ)^2Nj45?hj!H-w4-)2HG3xpU~d*UJLP1p=2R1|mqybA zr)ajFqNP4{n@e!nSbRcback`Ad&AAw_qWWZ-QTw48bNy=15dQS;S}LVc4}>bXS@pP^Hec6uU6_8C+dr7dUX+tQ7%TK86kG=9Y_YpX8cH|qUC_c zV+SEw;J;5gmej;aeZMU(NX^aRHXILYvlc?OhZZhAo)adc4&Tn=Xx5%$Sh^)8p$&72 zpjig5sIA&8gu!-XYvN6+l# zY=g_jjM@1?V)IQ$^c+GIH_qA_lPt< zh&W&J#p$GNhA2^MG#q019=_wtsWV1mQXhtK*W~js>eJC=+K16@!>CV3lYB~t^O;D$ zmIkxXwo9V-qYiT_-J+4#;@9UBZQm_Hvi=Z@SLawfBlGIeu{enkC&DZ>HAFk^^BuBJ zK=@D=Ob8dm!{oyX#*3T4x}V4A)3t6Zx}MqEHlB$@m%FuE4W=5$cPY~vgn|;h^RRvQ z^N;m7JWAb#TZs>6U}6Db3G4h?yWbaX5I*f%yFc1aX1lNCA0E7k9gin)lje96o6j>7 zF8XzS%AC$DK(>AN%o>`s>U8=jR?U6s=3~h2N}X2BeENJwbX+kVyVLB*TmJOd{GJte zc}>5_h)KY#_Pxuz2|o-}YhpQ!HF0{*Jg;Hl#DNnYj9`vC<3#&y_4yh}V>_|#YmYw@ zFQ0K)i@;4aeu#g4kmP{{A<0t)fIcpOVO+M)I_(odRxlA+Q1MK*r)KzbYK9IYHAA;l z_i+>&Mg|7z)$nu(0cnvBp~J|o(8eFqGsGW=9$S8f_yZLrOCt?qXNf^8OCv>N=~3A1 ze|2XOp}I_TzEsRfxcMGBI~>V01mr_@gcwOag&J;7CZxP0{~{j?B|_qOL*seF)* zcQ(;dcWQYevgOy*WHPk0AHIMg;tNihO?xr{uMUuVp^9UXguCOlcuo{fkwoFU#B(-Y zcRtU)OT6%1CfU(<@zZVot=nU%JcigZ`O4NKvpwv+*Sw9(c&cbY9di=dQW}mzu=+}l zjp!@{Ms}>|Aojq=93rs?KIPF^v+RLCYIJN5e9ENh4hbhWN$iyz&$^pN{DX;1E3AH98#IIG#%vEMq6 z{MI|m`C_#Gl(jF0-#<3|IvqAl)-S{V@izP`T{C&pb0sg8?A4mP#(Y%>Buct)=ZKc) z3%7}Okr!SQX{cEBSEot#w);%|Ht_BjZQKlG<7Vcxp3Bv6D=8++v~;E~YUey_X`uUE zp+R(!XU*M#<9fu?m7PBL17bPip=d_vPddTBdEeLlR^Q&;=@Af{Ihr=@AAj802`cX& z`+8v<#07`ZiqU&S_)Z@a%{i6H``0((d=h!ioi^Kp#4i@YN#st__S5ftiEaGF*T!+V z3}4IjJ_dhAW~0Y>&0F;5szrZVKe_SNyR*DppV1{LzMOPrM?zTUhwzu#g&&tL$eP@y z<$7WEWsH>%HPiR=yT1e*D>K1MbYI1r7G|4C1*So2T=+aDy(u>Jvjux;Vv-37Y;?F8{_f#q7 zyyu0KQM)cO*(p!CQsmv7$NTE9pFG@>(Pgxsm(f1gTVxVDv+$(RJ|!~|?UP3Pl*mN1 z812;-$n}nE>I0+w{K=!e%o#Rlj}G@XVGo|Qa4nbWe!2(N52Y$Wd0fpxKS*Dxc7M`7 zdPiz0Y{;)dAs}{fONyMit7}rr3b@~sT6)|sN@*RgZc6PeO=x3j2IAJ@jfYX%_n`dR z@vQ4>Pn+P2GOj9KP&Fry)p3=}=dq5fKh$wG$KhplT>YtzYhbse?WvmTJfj?iV2~tf zdAPYzUtE^XwpS0-D%I?>h464&nw|IfE9!md_UoT=b#S(ayK~;h?s)El*DB(fs7bK* zFC$#zlD`P|U=YXW$gp=(<_otn+Q0uKNP{1`Yo@c zop-T)@?J-MWblqP7g8$rEG-)iR%S_?JhyT|9s2b%-s-}2zj|*jt~&`CVPSvhw|>W# zb5v!rlMgM1F2p%>CW@9Ahy8N0>3^I=U0>W_2J|l~`TjIS*Grnb3%g$bwar;dQ%^02wPm5oqh;GaesFG?F^T)Oi^NS#l8NTYHu8?OR{R z8IY0RrETo;Ny`bK&g`bIXpO#PD6a}ubY$nWmk25@lzX!{@{Z0pmqt&zORY<(BW`4w z&}@gqpYMdsT2bFJ(FKW)BV3x~ z3WEsSY)x7){9GfwnpcYuX8fFwgQHJXl*mGsbobeq_XkTTKeX3)f^^Q#YSX0a*kHpjT zUdO4#$_a&tGqW!Wk}wQ@)>7?roMAjsVB|GIiWhoMc48XnC?N8LCM5DIiEp+<38kDF zGFwkPY%gr6Sn;9|L$Plu;mIVWtPW;s-(*f6XwfH`X}ILf;D$>s=e)|5*a^Q5zK@Y~ z-tm~uc7U8x*BB8$D`W(wZoY6N_6bFA$yC6EO018WPHAPX#%p z%n+R{D}i-l5=kb=Q=QqrVd-h6CrX1up7nWg8|!9`#S7gs$Tr1%k2yJ$P2B8FR>I!s zG$)vrV^l`_G)B5}a8O}29!yyms>uA2WwT*%>RTp1E%g}8-AWp174n7p@R8G^v?T6z z%n~zV{?5w~Opjwy4I00X5!Qm&arnj7h`J9G^vE z!)41-p8_7-R!|yvB!-Otl#Ci>^Rx7W{ncouVH9JQYo4AodUay#6tiHO4Cz=XlR!K_ zu3Y>mJMtMN*X88xX#VpBKojchz~~Tp%s|L`6Mltdk%oXK>StG>df@iYcvR($jv+1vC5c{!+E;{=^a8oeVewskj3kI%u~}I z*a#o$i%kqOpjoz+*p6|DbvZrU{>0t>Ja5*`9sHwkfaY} zX1Fgej<3FGjE})G>1NW>5(x8?XT9u+NJCGX+%1#I7{x4|Tw2@Zj=Hvs$4OWOUA)VY z9Jz?LM7VS)KMwDC7>6Z?>2x0NoyWb#5}R_CT+%)x2pUsd#Ii>`OwUn7JS_Ei*q(qu zg6WYn@?dA=tUxpqO|4QK$cc`TyWU$4Uu1h@aclzjc@EGi`8BwI-cJu%g-zej;@Z1$ zv-D<90WQxs2VYm`dD0sDp-J5=j6$-wao_l;l&-Fx^H_2u>6(V9iTFu*KFTzgt<~N_ z{fzy^DYF34`i;wZaFxb6S3A)g5wsC@X8o~~bDg<7PZybZe;NfcV<~=EFG%$MM%ZZr zY#h4x%uj6VFbqF{=0~?Ntiw}XakBs>>)9dV60V`X#2Jr~MB2hDKn zuLpq^IE#Yy*pOJ!-|)pJpzC&ET11-ov}1FG+m9*SN!-{AG5m=5SkcM}CsIT#fnMH*A$$ z=Dr!pIAZQ&4^eX63ljME9Tx(j;k&3GT+5C*Or5=e`zHflXDvs4_rQ^#Y69zva+|@8wPDYi8Bx&Y%_vy;Yt0&I6swuOk!8+=@I!ITdYo@e|Cm&!xJvR`_5Y zie5Y-j;@ZIZ*Hz;Qvay&xk+?&bYYr7Jv@eFE=_HvIn{#i{mh{VVktkFK6AMYaJ4wR z25qiZZYjT=mxs4!$9>ep&H#^EEb^N%GL_OnFAl4Sx$=|vcU_Ozi5Gpfigagf1Ey)K z`*K!U(jkH#>d6UB1>fzCcwA^e`Wo7)#*;*~`}ofyjhUBkA)=VHEr-43r~dgmW<@e6 zN9yIL#)8kGBnkb2-F__;r`xp4sLm2GZBp2nwRIeLgktOwRtkIv8I}GWCRK`0B1Hd5 zkD0FheQIi%jo+644{+Og`j3cl_2=trmO7P362IQKy%1WXI+cRe*F$Ws zMJ*+Bcsp&E%n+KC%;7_J$qb>*DD4xf0M~XHM6Cqoj~Y7@Y?Tvx2xD7HTai^gfEcox z;UvGX%n%+7lRb@0dUMUh-jkL+ji=M{xEHOjKetPQT{aDpze5SjMl^4Wc)?xY+T#dN zILXtUOyg7aH3MA=`HDBw0uVcIr;*T?@+mah<$f=1liX>@TSwXXJ{1>jxR%_B?{!>D zp({*)$3N*PpH19!FH4SLeP7Q8HL@cwG9T$8lJ=@v4IGD(ex! z={l2da#3(kHq*7C}qnHn8sHv$+7gT4c zQIW3GUMkmFlxgeNmHSkw(yTy`Q`|(%m2_GZPfv!5fXqlL3t38V0*-xE4_j!KAS~F- zEH8Z=u3z-%Nlrlk5BGS{lDuRho;!>IIeur8Hx*BcSlIw*m;{%n28%*y7} zwtd9LuyolUro}#DV_5ca9xAZzWY*_aR2~JwaJ9=@J3n_qw3(|VhWk(+9%*S*SPhL; z0@Bye-q&D5U$aqVODixka*Fcu0Oc8}G_)X)^uk8ND>w0XE@(eFLYci}{k~5aP~Tn5 z+u|`9L3L`d`#X`wy<{fzJp}XeOD3~`x<58`FH85ACq-mAN7{vZ+r5#kN1h**^S*GB zd7hE2Y~Hiqk<51LcV|iTduqJ-+TZChNksgqk}cwm12u9$?Me0-|HT(hxjwOh68pzw1F z^TK_mS6^I1(1(hCEnKTxSRfmD{yem90{RMQ@!h@i8O}VHT43eil@g}zR4BfhI+nM* zY56Gx@~agD2+n|ZD?pxRz4bMI8H(X5Ekg3?_# zy@lFlektqqL5|G@$Kw8nO2RKTdR|wUP}>cL`Ibl$2h!&T^)(xPH#lz+L?sMX-D97g zZ*DT3jShs%<@gzUuhJBsp+|TiuYCK1;4f%spfu^vuCFsUbB6!mIg-W6fi0oyJd^ctPWNehA;V^ny%{YuX|c zO9;PZsZwcHK9b7c3zcF==%b#|#8Xo%#n`2rtETi-=WXMzb&ofz$TK;Q$VQ{(Vaqz0 ztWH%(J^K@v*I8?)3RXg?CZ(3S5~nuL!}IV%QQdRaJxepX^A2pO-ozfI6EOf|*>z53 zgcE+O2so(r2K9A=gUV)={npoeRjKPo49aYfzJ~U%wK7zGgbq|`llLP9nOba`7f%;a z;y7**WNO^R5xRZ>awG_gc>mDq<`ks?~%;lHr%ht^0I0(IH^|uVKY$C*XF3r{Yox*BYH%7lq zjGoizdBJNVIyF~2nxTZ*Tnpg$q!tni&x~XU`J(hcISi#$DU?br{g(9@;T_mUcu8VE ze5SeNc-OvF)TfsO^yPP&tMBad>2geugCO*xzoj<{^l22uEmh6hIY>vc^WFrXP6Mp8 z>~{JZ#)_TV8LBIja?T}_4@Adea6^}wzG>G-|+N=9QBhks(swq4u` ztSTrdmU?!P6{0f2vc*xz0u^fbM41SJ=48Vrm)7H-TR4Q@6J2^a^{+SGmj0|R(W6#` zPwXu&AZC}=(tTa?N9OQ^`bsh<#d0I%Bq4JpA${H2assjT^ALB}-*g&`RWp`RI&okw z$4_XJX`wYeW{R|kw6UC)Z?TD|LWoT{=BW$$gnW7(o9okSwkHqtuX{Q&uCJSGJ)KHC z>Pya-Z<1$xTfIltZFI8R+Ex8_x&J>_iQL5!`3We``FD$>GI_OU3IyGR<{#S9S8c88 zxMXST>56FSq@23f*RnB}%?ZgrR6w(@5fhRbz0sid9jfzXd*$re#o1%m-Hqrcsq8mI zUvsc6U^*?w{VYr?FLa){=-$m3u~ZMkTu57hINlUT^otap&YLeh^#w{8v$)bAU|%}Z={opjqs3O(!qXIPhz(_|;c! zZ8J)yXdb@MTz%oDKAV7d(d#Rzm@BEwVSTKAbK6o*CqxTQd7VpLPbd23@bnP!Mq`9+ zKenbXIaqi*x@tydzCEaodP|<%;{ALgGvA!eIfx=Q3Yo%qYN{E>?o6)~ho;&}a(L$3tca2c zTPMU^^)H2Sf7j~D4~3EU4e~sRkc=ihER&Hdh1;9batT=}4oz)yTgngVgyYMioZCCY zoAxcAa^Sdq{KcmnyWb41U~H-5KNnybD$BDxqKwJSQ?vC(;{>8`pNQOsph_`jnqS5x zE8^~mJ7pTuac7}i&xXf72(I7{t$h&T!`&evO-uASYH*FGz@ zqvb=ypK2V4?pCuSLCiZ|oLT1m@`o$rsnn5!jYjr!Y;BG4Ejh_2*{mc>M#8Z%5mI^R zHH|$iB~?9*n#5X<>Rz?qS%h^~ecz*XOHX>9z0CIXHDoTC(3eas?48p1t87Yzv)AKI zWYq|wOur$4C!LLR94Wn9>m{(v`Op+f@iI)qXh#J%YB6gT5RGb171N z`K9KP1AR3Ns|*4^Hr&y$8wMk_R6GJG_z`pdM4I0`!_>06u|Tl>kh zofHaauz4%%bUE0M0UmWqDl+FS}$Uvh0OrBm(GOxzg{9L*}w+c~C=X@|NQo@Uc72LJS6 zQnRT0B5Wj}dll!6gdt6#4t(otzRfk?Vnwt4c;@h!LI1$CL(MUm$7u4xwr0gOjl7f| z{l1zQ39Oei&n&Dqj$Mk>*F5aed-+XAF3jcEuIpfbi4zs>*(*=t5-gy3#o$mJM*M*+ zN++NwXS`$iFAXfQX{(`co*1N8HnejKhX*$F&V~qU;6dR*C9fBr z#kEOeve6g%sTgE8knqe5ZTWXOI=kl3*1N$|hI-`RTaiFu?8qI=GNXqhF{gQJpx+TX z4(Av%i!wpmNRK;0^MwE_J*N5O%|y6<9S63LIV<6Rs7Z!|KXzMa;n?p`m+M2bVTU-k zN7ZOOGJCmd&3w^9$s^43^y1%WkkD*>Jjv%YUF4{^KH@e@1v-qE=v7V8YbD)3d_!YM zFE{j0kh%Vh3tpF+5~r^a8pLLo3^$%9Uef|Uq18gM2c(467`z^Ix{=QJ+T2OokH+s{ z7e(Cip_%!B{cdw4hB0;1sH{OlHVWKj4e-oLtQ926_^e2NA>{a@Fex zUS3y>eXw#8RS_qEo40~wIQgqMT3J4P)S)Cw9{H^EH4U=@a&oWYkHQ?Cc-L2qREk-n zL2xKlK<QwKxcf%V7k%=lp1LNMlAh$=y^Wd#OnFs7QxVG?ywSl=q;byF?D- zb+#N${>5OOI`zwk9(4Gi-uzRi7HMgZF4b%M&{epXLw_)F=4xy&ZQu1ARZE@~lge%} zcVl{GG37n(YioNHS>2H*-!HfeS)NC>&4x&u_gWd_q-Z(x2-v=kYzqt@@55Z~-xZE_ z=!`t9(?=V>s+B&d)oC(s>Zk@Vpe6V7^$ghL6ec#lq;x zt;H4QJaqebo*tBHCj{MxPgd6TEgyb-5wj46;5==Xj6me3>hNTn*&r+OJ;={xkqi~Z zH!?_=aJidUrFs&04cpCf(N^;M7(}VrTH3MvL~IDxvel3JEX6nUDMSvKTZ$%4Gt%? z22|B-aH$@7VqUM7RHh%#O5H|8`cob?uuUd|H!dJDzdu*PHsF za&HRh(YcOO!V&yYNn`*CXe|oGjvd$XW2siY5RkO;2UGsUHR<>qbvwUu+LBeaV!4uQ z;lXI_ajC_g`Rn0&WK}!+9n~XY`O3``2vU>ql2_23cwU4=#51GnHC^9>i$Cd@#0t|9o(A z)f^`0O>+)PrLUT+{fzD3*3W4<-B;RSD)qG)>0a-_A)bEL=3I=mxwSjDYa%lX#%wFZ0cw4l(qS1{S#R-#Y~QG_r0Wj+uEC=~i@DYU+PYSk z;#Eqj(5bohjWYuZxmq#h(a{0fXx6~u0oi0Jm=i}`A3cBds;4#1iS9)nJI_Pa-b(Yp z=CPHB{mtY{sP9$SEE0tsh$;=6u~2aibB$F_(|2ILQIQq0u~i3Lzf1SGjV7cwGb>L# zka!q|*%O0thIWIue%CAsq~%$HY0q0oNM8@iK3>bC9ekItO8zt``dU!Cu^9Xu;^h{` zM3gA8d49>h%F&OP1sJ3+$8zgw9pxD?iJ~Kp1bLp~E~WLbevH>r*jgiR_#8C*Q8o{1 zs;?#0gm{{F`f^arCENO1x6S3uJKIis`$;RO?Pn-|xroXT@jxjdGf1;M>&uWRo@+iL z5BIZG&iuXWT(jEbGG(2;o4Hgi^W$06EUKzpQ;dhwSBgVZtE*P|^}T3$F!L$%sCfNS zUe@*W)W#9-lzt+IIb-aoj2aTpmkVab-v%Q4+*_`c{Nbo$pYuEA0r*h@XWjIDl&QB_ zvRt@7G;dOSe{>>j)(1ZlLN>~Y=tJ`5vE@!V+$vGqbkozX_3c+LzWk(@IAw|7>w9u} zW*F+dJkPIReKlVp$@96JHJM~aD@85|?RduhDXGCZb%#!7Bd`t18reGZzVxwC>`gsF zabR}}q4tvl!{z31dsfUr+q% z%ib$r<$YIMy}M9;9C6XT``XKRWg&?rgKK8E{qpNqRWUtJjm?(La-U4t5PgX>8n!fY z%imP_n{vhT`M>oy7G20Xlsds~+1QYE#ZJ9KmYnGI=wyU!b6%#?SYxtKAQ|S}``cL& z#~&nCaxKbZLhG?Ldu9bkS!o_+op>2ss(~oMrI~4Lhn_YjegRe4$j8g**Pp{ z#uM?H7ves)w$X&kenxA9rma!3vY2>`>Hb%(3ZOV0l5ra3_m9=iEP3N8k9fGs@*JLa zR0z1&J=CSjY<(Tr=zRr~+k=NIRYIn?sav_A$Pz?GwXR~$@?OvOJ4|xXyYc;0UE;b z`1gtG1et5*yGTc*W0MAxw)S!+@$WJw+YvxKf3m(7?}4f}xU0&>;eq*GRR;6Bs)+BJ zbJjFfQQnewqO00Rli2KI2lqxkSZv7!CHL%1b!f0t(J-0%lvewqZ!L@`gfqfBiU*)bx#kb$w)dE6( zJk5$5PkcMV9RM#fRgXxe33Z!$pvmk>K#`Yr{hOPoM9qsJ(Cjyoi)aE;N8sg z+NJW_k%8@V2+wlvwJ_k1!eP;O#?}?k^z})-s zN(|c`FR!2x$dlQLxjHSpxM<@tGkgig`R1+(n$siH4 zsUW4i0!PT#s9y@#-!a}&a5)qX5bWa|;4#z6wbf4R#1Qf+wW|m@yli~n{>CC}8b!m# zG|+gZ%A2MCwT2Dw!f zLyUqU9wn{{LbD`DM57ua5L(9-M`)&&iY_`i!)7oLSEK{PIo=xVL8YP3F#>U>eJO1$ z1Cv4Mnn-`IN!$!UjzwwBMB1A%86a~8c>_SmkZ}apWpqIj#$h6{yaH8_jQuA===ViK z$P*z&V!TD`GTtmCL`&&l`HQ7B3zwXIDNU#tVnr~!7=o4WDSWb)>7tcVYcCMpyqtO)ti%qB(^p+6OY8Jq~x z>{3N&5F$sAa9(*!c%+3P7*$;n7nt24n?^bl8Y6`|S3I3UyFy{(9+?FK`2e>kZMQPQA4_M~)C=*SMVnLSR76p*3F) z#1G$7kij)AGKL}aE7x0d*$X60nz?3e$VwAVH00a6s`0MeU}gwuZ?+X7rOFH;+aDT& z6aIxqyeTCFMq!81g|!nIjq`IkBii`!?G zV!Y7`rkowKQ7=g72>(*lhKsS!`j|Lks_zLTXMvEdnAXJzq^_DkRASO0Ez?y;ixp$z z<1-20bergy+(Jo3sR(w097(pWX0W}Q(~!PfJM6U+h&8bxn#-D&P1Du|SbrurG90P+ zRdvN+T!&O|mey6qavDsmhIq%nG$7QP`fBEwbZ7zd{;)Q}#j&b*LS$J`ACx2H@Xj@u zjZZ=pF^zTG38YjSt!sT`ttAW1?EZma(n;iQRO?@S3Z^@$c>fi#Hn35kjhr)~`7UIv zCPLc^l79DhGSeND38_AL@sZf~WYVhYkHW7qtRJLWRxg^|M>~B!Z0+H;b^ndow?K+$ zYiscNm_*4Vk3M$~QnBx$Sw^gOuaoBUL{K0vgzCc*lbRuHnY7rG*mG71G@dH`+9 zT6h1NjSuNdXuBNp$qloxQL<_n9H&mxSE*3$#WUhFILPX?qOk z+4or7B(QDA5}8&JLS~@ta%_%_hBz{=?xvh#-Yp@Sbg?3q90%4KO`tlnus7431COz+ zNKDBw8PIIZMSEi{XUdUO9*i&hMz%wy<%)RleHY5!OC*H)4|N}m!i0=#yf|9-b2#nN zjJK?6!TGX7&U2UJa2japXk%B+ImjiIvj?PPf!x7Aw1~jm zeZay;T}MI5H8|0y(%xoA@B|^rphy&c>9p4oc3sz40Nl}O;|VdeFjcLh#WrvnPxB{L zeM8->iQuKL;)@Z2dBPA9X`QF!URcNaAv@9LPw}2ILn66vWlU)aPmXcm68VF+BALYk z$h^kjs~%usvy20uRg09+%+{Vshpd0DJ7hACd3u9Dh;v^N`dLo|gI#BEAWgBXwHR#m zxhxm_{(`00-6bnm}eriZkc(lF7cM{Eusl9~Y zM4{3kFl#1)GNIKX(d4ny7b67Ea90GbF6&2QAuTJQGLh}K!H=@3BCyYHB6xwmu332W zZq9A2rg$N{u4XjRISmc6Zo6GtvJ^*Xlq*ffCP5&yp8a9l?IK8KLiI=C49;D337^$3 zrM&^UgJB{TGOaW;$$4v*++MAlAHrq{vekpDykUoASU;9DrtVlAoLI#X+dMn+JgjCi zuy9YScZ*a4#+ySm^R{Oqp|gHmd5?VGFA!ph*Sh~90~jC9^=r1(aQ7lz; zvXasi3SfTnGzF_eTYI&aP87C%75f{$DzimC@VqOgp& zgi$F-*+{U~umh~ICu%i%j*#6eWv){#+|Q9zy+J~v;WH~?yJi}qL~A6khB(^Jg#`%% zVHSy&Kv(yTw5+PY5t3GkoYFo5{xL@oP^btQn8c9a+WsfQ7n);=JG#}v=JK%l)R6LG zqpk=oW^B%pcEoDQ3Bj7j5Hd(*6bK>P&08b8p=#l9+(Kim(bh`&+FDSK^JWp$tsDeI z%o&23(WWBgXflMDuIp(g#nIEV!@Gwjw9@4;n}ch-;^*p$POCAkl9nN~V=p!y>$ID( zG(u=eFCH=d;Ct%=1$0TSj42!vLr+>vobU`HlzsB+rAr_@o;mq=QWc%;c~2S)vn z+a4xTx6c*PZ0AY{vL71_3B)oaBH&sO&vz_vMwLpH1CQsSCt^qwnyfuMIED}drnSan z(X_pVdtEdHCuWw>;2gnq#LnG2A&AiHf{^2&ISr06Y(-EAVby5#FDY8 zvL@HtG2TdXLWyi=0D3@$zeFFV#uI|*V3`XZ0Hg6x_#y)`P0a7bYsVy@ToD@s8y_OD z#q-XzRklnMv8~osM{%MU7($H2;sxY#>S{Pbo2G_1Z5h`AZe!&DJ1~xvhjc4~w^JEH zoe01V+BjcUR-i#1zyBLD=h9UzRD$Pd@Znduc zl==e@MS=H|u+Ej%Y*|tn5>4G} zX5@+_rUyvDF6#mz2u)7}d%()IrTNRb&a}NN`$qC$NI{r%GTtLCtr=KhrUzvMRCqJw zUMS0v9IEb+f93heq3UaEja=pF1!S6= zJ04Y>il0dKnr0VojjT&*_L|ydts!GV*Y%VvRpf|iJN{*DBm^PJx}NIDPG2$t1EEId zkc&6>3vj7vFiUGRhJo2c51G{XC_?7bBgS;Hs-6f$Ji((FLf&ZgypaLH>@}XEYkqtX z>o!ej`l1?Bm7Xf5x^6L5k1!=eV6dxSg^%{^OC2`pHRg@Gu>>&=0!&Da9@A`O_JAf&v^BDgxnS5RL<_-{-3Lu_ zw#vXE_SGjh2*EMAAqZYQRos^2dVm0Zje??Ta$@6?aWS;gz$;=j*p%A3|DlQG=V+6^ zLON2TlX&t?AoQ^<+6t325!`gDClAQ)W^)b>g*G*E8W1v@h`|=G?g5cwJHIrLYo@D) zAc8+LEeN6gs%oui&5Lr7XH`QWRA#3D)n(_7;+W}xr4DHY`={n>PS-YUpAOkR3&fl`U0LXlZne1KMSmQ*1)W1`g{_GYOH;@;#OuxfyjQ z#NcviLCCYTK5>LrOIHv_EX;lkIZ3)`hy^dhLotX0xDuf)X#vSH4&W~Mijd$O;{Z?J zVUi1tE}A+&u*tTSQnVwpr~K{grw0S+&9=Dg9yubd(zh*0Us5uBhF1S`5?2>oR$ z{ZN-KavIuAH3Ulo`yO~_MR!PbNWpn)WXus^BE&1f8w#eC`Qxx3^#Vcst0HOEn*f5% z2(%Z+$&P8f!P1Kz3nA8uQ6RrN6n(?-L{Ls3vAmRov=`$nN9dmgiHa6Hi9}TqT+fd? zl1a9-Ix-m~c=Gj$M2C=@pi!9HgdhOomex$YimhkVqap#G0}VPkpoxDevOCK|v7{g& zbD9wA%TF|aT*z$XaC87KEhB9!Bt8mo$x8t+g?wM$T8YTj2ORCpdm;qKS@0a-#v_u$ z5yagKVz44J#M%QbYwkAcWQu zE0J>AR}k46EeEb5a9XFnp)iB9MF!ZXG8qtps$c~nS|$UFtMIWR#am(sZeW#uu;4M9 zNFM=TwII>XeF+d+_*CT}A?uGL#6Uxkkin1`bSM(_h!|wu*l^qNZIr;#98_ zh>hV0@lX*`FSee#@~j$U;B0wdh#^MRIR~Z8Os|W>lPf|ZwY;_H${8hcK5+QX5Hg;X z#?jt`jSmt*gGsd(Iy)UJsSGsWg==4mXK@7afP&D7TE-jB#9C_!xoZq5S)-*IJKjNI z2m)DEIuVa5F^O7idu&B$SHb$Rv}Wk~*am$L^I=JEOkHJ2Q{&7^27>g_(ofDhM^58S zITbmWfVY^ULK$y(gz{yyn!s)pZgOSV2RSH3MrR4}ZRjyUxEG~L26k?P}O*qdL zT2#*30U>^&g;JJ<0EtN%iN{=%anf2Zmna0ig!o^BI$L++NQSG||$(^~Hr2W`0 z7O0$`Ck|QHsE{nj6dilN7|We7GUP}m5q6+N6aaabgD@UQ{wWaY4EHy2?BZI38FwsL zgE*wEYJ<0!JYTQKp^vIcBcpUMgOGxfOMN@IXkrB}kUm35i*7a3LiTKrQBvni9VQfQ zRAR9Y{&zNP642>!wk7ij|s|>L~a0P&&)9n=oMBEq~7#Ce-NUpwNo+0wK z%9&t+XcX9Z!CB}K3?KIvkVMfSr`6`lRB0f`n13=Xd$JwkI$xZos2YUmrGTRE4d$p9 z_pIp#GRQdd#iy%+atTlPF$kFip+Rxx?RW37$`Da;t^ja3bLG%$_@j#lTRFtJV`Se2 zx7Sn-J*_uQWh|=?ek?*tYKkk+r@XQQRilDkVU2WCOf3!6zKA7ANRoO-m^3a zOC&Z?*s#_HVPch*d)ZiZLnBs}lz^IzLd_`6?1q7dS}hx{1SY;^?3-{vND5o~Do}=G zq85;=b^~WgI>_ijEO(~iHJEv|uZ0|Zg^<`|IP=H`3KgOyU=426p~L}&jeJnZ&Slde zS{#-zN~{nrF&WDtTC!_{*wFYTVB_m|MFV5Wpb(X}6|(FyNeK;+YgxZ5p^_r+i%eT? z5V^u^^HhRRwu%=6$zu$pH7-^v+QY2ju2lLmIG$HQbI9eg5*a+CAoS2@e8$2In(IcEVO=2RhEvSkh8PHJlq_g>i+oHm%W z7FDW5o(Ee->mf*`BJYB$s4n;53iDVwEE_Mxxa-}0gNii$(DnCAw;qni+( zG%RKr`bplg=MZjIHwb~U36Tc)3K?t?gMfTf02*d&nkR%zvvNfm?<%tEOEt`2!UY9N zEZS8zgS9vgsRC^v=W8vr#h6Ea~L6ryjRj2psAp5cT#iAt8^^oYvAk2k47xCb;L zhYMJ?K+)3}v7;Xicf5WV&NqTMeNyG550JqH>j9OFz~b8wgall6Hb$G)vftO(deCN2 z9<_PpDg-w|oX>@lZ4W5QV>pGA`pRMTzd2-_&mD?}9XZnPLn)pL<6;M*wJ;ug_>L;?Rox- z$5$2491wNPQV8IFwogX3JwLrhW<_HI2j(mV#^Z)TZV)cscn%@)xI#)KDfxiQI+a75 zx3Sz1j|CCDhZ|5Jr0Vk22#>h1s}Pw6uQ}w)d3}KbPbH(h zscnmtN02GAPj<)^sLay`v&3=u7}-=~?rGnnjDW}#n+DNJ&%O)AChDxL;UD&0C2U&1 z%iwMRYY_a^CEH^O^9+J4Qk9{?i41GdpsrB;F2sUZ_7D25wP%p+Mrag}YBEGB63+-R zxH?j#fpC!HX%_}J6m5gZ7Vz8?6i!GLA{)RznT$=Y#vC>C9alDT3vDT)Z;+}KS;{1J zwbw6408U05T=?GTysl2vogcG(G`O6<;iJ?wN@FTrVW!uftqda@r?EyO!)H#4HOW8*kzUS4pIBANRy4-hDh`^%~Y19jbAWHxSQJ6 zN?JGgeHBvK+*epsz+n(Fyc-qiE5C&lX>!zQ?rD{`9110wyshTi^4lL+V|?z=JoGCU zYDj%Mm18Sxs`Z&ohXTLML5^SB6Cn%~_-!@h-c+OKk^aN4tKpEe&M%Ma&Ytz<$)iuz z?a|2Y;#Z5vz3WuLvs_O2!$@4J5DH{)D(pfV8;SNNysskf3T1KO1h={1f$n5*WPAxV_ywE~$`H{jlsv;YPrROf9_R`OC?%*|y|#oDNd#VnxEopxGwn9gITmvv=>Hl{l9d(+5GsxDT*an+n( z#4NJ%ivpnPW1QLx$ThnWP!EY;w}w|$O(l|0W|l*_H%vZvst~eY8HYHdtV0TWck(ty0h?d$QP!EvWEOi&I!e3eE?USMoET-I+?-mt|N*FNBXG$=CHvE0YVb-x@? z1jcF(IbB0K0pX0yI5e=*l?scB;#9OuIolvIqwJP7O@^Es1TTeMrdA^cOAxJq?2{E@ zL%u^ZFy1frSE^d_eL!TrLu@i!AzjXpI;7@i1kN(KroF+yF5MSrIadQ4aMZ%;+mwe6 zs?iI`PSzYM2VfQQk=YH@Qb^jh262gu6;p`ic29*6gOXJnLepM2%dDUfjd(5z{~9-G z$XnJN>MjiL{w?eL}N~1smT>{HxBS&7=)|V&3xg4 z0;>Un#F92E5nEF1yA;mRn~iet=NRNs?5|o;-09|}T@+3;npqB-RY}1SimQ<&q?r)dd=kl&EzLA$NLVc@Fg`!>@ zB6}X%ccIc5E9-;6ZPkrZ0MIjOz*}xEaWtq<#Ua(>Y!SI8tQrt%GKB^qk%0F_c1X9N zu2Pvxo>n^5oIvEBh*D0d2I9^o?p_|s1f~Xl(uCg^KvKn)>N>^y#rI1Uz2IaR5P)*2)yTR^D9s+->%EEg{_Vw*ch`;wVq(u=b- z=5bKW*uedf9vDY1BBzR~9ajNjTj^Fiu6gD#29v{5@~*l1fY^jBb@JL!x>BKvK{$%& z5Kavzl(0ETy+Kj>&y}j0XNWx5=3^rQIn5Ugd1@Q*!p6MeTdEAkG?|}*LV+@B#Y~7* z6D(B=ZqE8AR3l(zX*%ok&$)HSu! z=<&Xg=$(cYoqO0)LDi*xG)D7@l_^DBv~dWF?O9&|!IWl}5kuxw2yp1JIxO{KV>=8g zYYL+x$(hi1h>C^#YGqn87O5y2^jf99AaY|~Yv6{yAZjH_-RjswI&y`r)ppss>={II zkEtz)C~~sY_0`M=V13cMbs(|jYDiy11} zf=9$_Mq5=Y+ZI1cIVT{angxIyFxZ%MEW3 zxjxltM>aZ#bm*rQp@xY$)Q=?Ki$-337uD2V_h9wBR#S^%x0wV>zE4t4?T?4}eMkGk(T`0fUk$z990 z!DfVcuvlJwi@{K_u%Mj(zeW%Lvwj3bZjQYa{93iNBTW&*eRl6PQ0&&9{5`r})Hw1g?fq0c#7DmY2 zDFdQi4*?*8X9r@-@k~X6nP>VyLLd2Bfn^}Xb&H4My}+r^$Zn|hr3&KaF(p!05tpnQ zL0>Q=hfM*gN^FRkxmg|+=S^LULn8GW-Z&84!|8|_UNEXdCDk^52#N&F5bc^ZK#8fb zDq(|>Obaq0k#JWwzsiXuGLx2-m)6+;jLZHSud3T5_z+H^vkXT{b~6UDTH z{|As*LbM#Q=XK@KFBv(JYSDV>NM!AB9<8meSKj7Ox1 zapxLkaC19tlwTV0mQ)T0zb_&%n46^#62;yQf4GkV?Jr8>`^W(SL;Gk0NkY+B);EqU z(h2EG-x#vA7TuM;!IwPiTl81@7Q>akp?L0D-{8-l^$n#E&-#Xgm$SYRa-nY=d9vIt zWqqxq*Lrlme3kPh~ zT)1W{?ee8;bzY>yR`f-p%nMjXfq4$g$T4r@sXBVC*W7#BkPF@6UYg{Zdv@|mxi=`K z!#zkTQSNohQQ)4c962%T#b{sKgKHZC1jnxx(P^HVASk3`-Iq9A&q|RazR3+aRgqaS zq%hTfkT|B8O*A>Q+-Y1m(Hy~rnu_4`-!Vj`8Y>}$9hl(-5nP$72;N^AmfYhxKmL{opR6 z9mw4ABQ6k{^+(ay$Xe5(MW;)abzrS2T$`&1PnY&6>)PiJ;Bd?k4T&xF+H1wu#)vGuh9JPoXmHiHSr@z-!?@O}#T-xu!Ochs zj&_}EImj8&afZ;!+bmMXO{R&I2Qn&xv^>L*(6X6IT@}Mx!|`>a!3KGL5Avg1#x<@t z`pGqF@uil1jQp>u*El??Cj|5tIk8cIBD9QU+9{iH)>Dy|QCko%kvI|75AIGii$rFJ zw^r^0R0JpZnIYDo^jcep? zFx{ag#D&IMP}G#g?K8&e=H1GpfwA3JVYk~#B< zjcG}AZ>>2T^-Uz2)bv2(GS~c`^44e7s`AR-><3k#njYXCWiw@MP*bOlkHRC2vmYd1 zw3QY`7$a-VqS9VNqGQs*QBzy%QE`99?0m`auUspn4Nd826g+MHU~V%S#uKfwKfT1D{0#N-Vb5A{UAmXmu%gXG^T9ammpo(L`PZT{ff z#^E{;*U}d)9xn;shz{aedRwMCt)_K57V)bRRIF%RF5E1ZmB*P{s^+Ph9lB^ zo$s$n{fKJu#T}B~Wyv5}YBbQ4~kvohw z$^eF>4jNLP^E+ylK%Oem%Tc)0>+8AT?YudP>YTF}m@nDy&5o+X$_oPNF)kQXwl+>g z!D?P<%?@H0M&yCZEMtWkDh##FJ3ZF^^p>I^du zODlTcZzIdtGwY9X9CeSbQ4_;4uT%AXJ<_cVJ1O?6#{z&@z7I})T*}WCiFj;yAQnY%>iFPIlIg7n+qD;W);w=*B8v>-h{)_nkK(MdjwAB3 zj!zuXqIFF124LN9WATZzv^Wsl9BfFMgOI!ZsU;q>0QN428q@R;vm3;lo6b`sfA{6W1$ZB;rm;Mn*Z4o92jXGC*i%`h2KQRPziq4%laLbJ`q} z)Vig>xiv$4Ds_o5rntM~CxVpDDg%_joYt};X(DbSNT=vD^q%Gm-f{B9FK9BCO0^p zj;%6y7`(O?P=#o=hU4k#3E`E##H=!2gpRGXpl6wxy3#i3AiOy}6j~TVdHV$4lkL1j zjFes13d#nAaSdD1kpkMJ6B3I-l2JFd7F`S|IjaNj$JDiiTwup!P^!SynwtSpu6b&e za6(uM#vFmJ=S?rq>@9qChaZ(~EU8?9;SZDjIQ>uA#onwa-wky2gyAq&86rQlFew^{FT zgPo0>Me<>z!MVl%&)S#l;IcHuJq;VnvT7cd$d-8lZ&+h5ya5Zr`#U4Ex-zP}zH@&h zK!S5mobIo>hO8VT`5D;?X(5Q-J2D^5p~Cydngh7t>O!)&XOnM$pwLy2>l;LgbOXwW zbdQ1-ASAk(w&qadsW~V$osAl)q=AqDo81s-4xN#uIVi$%6q-XZL~A2l_Cj+Q3rQ~D zv`gjE9N^Ap&Ebu7LLSWloFiT;smRhCfH=_>6sbo)sNvKPv^>&At*vDvA;U;Gfq=2X zk$s<*dFlr*q|zL0{Q%B~V+7C-%0lEZe&*2vpdhh+pvc?^=?4k=fzA%X6K=y^4SG?3wUdImK+rmaKM&f_OCPo!+5(AefG9Y+)&=|CHi z30V?Wb#nL2f!Eb?XfXqb4LPC)%(53`g2wSS^IO7_7#{IN8JN|vzyho84>`W4z&#mn zvx8F2R4)kn$#@MqND}6-od_4|ba`Ma7Y#wb;dZXJS?{Q?z3vdrW_8aT?J9Jc^1MOb zi5-c|v0Zj=M@&5D8*;`Men%?^De=fCti2{%7OAJ zp?fDks#HQ{ILmWg%?w8&ZEEX1${yHhF^OjHG01no!o}BLGs?sG-Gr zhKio6=b22MMFi=K5gl?Nc*}7heOjylgi9oMKy(%QLQ1B*8g#o#X(6Z#tc)zbGE|y! zye%0)xpi;qJT|#^>q2syglG*Z5MC~7Liq_H9B zVckSve;v=j$X-Zt-X1}ajkbOVeW-kWK-Xwpd~nsqISyn!Q!4vI1Sxs_4uUO_-xijB z0CjM<<_$)~<>QKI2axG)VOp*(5QG&88OAt?gE}+q9r^I(Sx~E%p6T!>S3^X2cYX%M zVGF5Fq^r-YzD{^sIFP=4HP9}*d%sYPZX7Pq>MB(}YAv(vDs3R>`Wx!rn?)o zx@D-qU6v^)SdcQFW?{LK-H3Xqr#TWBD_z3}zovaDda8+vMbDrWg7vu@+K`%%Vht`} z)QsxhJTOc=jp7&Zw*|rCJ10gqIc# zK47kFfD9N{q$zX9?QLMiskad{%{r@>QOozwbvwW24T;qzd*5^2K!h0QWqn$QgLGc@ zfhY&nq9X6T)4(Y;=4Fr4GjJA1mzMN9L#sLFWo+{O(9>`yQ%!*t-fIZ_eiS zo%lv<_lC>cbjMqp&esV1EG#7cL_Bl8p>@N+1u%h&GBBU^u8K~PbgUb46EvoI*9 z{+EpZeJ`YKXF)S2CT>PRXEi$CGSL|LP+890CP317kT?qej_4xU5Hv+}4JDuvn$9S6 zm^6-CGu!uojfCQwxM@dR2-1G&K1Gs!SV*I~>J-|d?GCUeS%An+_OV56xT*+@@<`91%}Ox@iCnIWSyvQAQ1alG zYeIXyWFvrX%NiF{I75`0t`IV-N!V%y;I8vU)PgAjqne&Ug9@8#IAJqs>!_!A5FL&q zsKsoC#9GJ>E}B}S2xz8geN-TXh2Xm#K`=HOQbMKQL5CcZ@vx&O>9B~1g|Lv~B<(e6 z(Sp5A^z-Ov+ZeWO)L{Jfla-L=l5BQhJd<@O3gnXH*srX4gL2Pqj2hc?CQYzY2jj~H zQdql1^uO3173snj3qmQQE~Gaa+XTJydL~YfYg&J%wXfl9^jv~$cBCPJZ?lZXUTeRh zyRUN$25KSoCqmDl zqG~$gar6S;fQ>DHohTAAjinWc2)HBTX0929#CEBZ%c&{RF&C`%H`oCm*_Kx z0EQtYQg-cZ+Su(u3gs*`ZP9KBc%>ZaaDJ_4z(Gb4LewLzQKPGAC8SwJJdogY^{6b8 z>(x#PS{G345c;IesHg{G$oss3ILst@nV`AyXHXoWo?sx+8|r{+BCIan1;^ht2T)B| zGbnThEgo~N$g`Lx`Lw5t=flpa448(bM;|2%P8s)B_ z3u#$%046DqRU?pYJ02AOsS|=Ej0XvmV()mp$x#heE896OzN*wCcnI6wsFacSMj{ygnG!HDw{f(XbHJCkYwNtX)(J`wfV^fMhWiG|B9f z@&W|0JYqwfGeMB{>;pJUDd!YXQ@a-mi~!0u$q?rDI|Z5dj0|Hh45)){lvjghn%QPY zeL^%gIjLqdX^5XzK^xI51VoaQHG!TIc1kj+H~n`&0vc_0(jdM~5CpHB1wm?a4pc$p zrjLCgz0zE30|J{j<*lnH(jD;;z&c#JN?9cRdzzB#7{dAf3&|Hm3GVDJc_XMKE9)7K z&%yPJr=Hny&0COxnhsk9rKxiSC8+&bQ`bk)B%s6xneb`y2%eLr;aEo!cqsF;t7au6 zv~@SaZ|adqk=@EZV<#9j$&C<@m|tXwW~HnhB4$lX{fZPKssAGLf>a1@&y{*3{q?q` z-8N#pS7g{6AVG#?uOY>ed6@&vyYl=u(Xv*{*#NSOV~6Y+1?7+P60B8Nx_=K&;0(rJ zF?W|Gfr=i53PA+F}^O^-K*tct%ePN#0CMX@{4*e!VS$PI2iw=Liy7 zu`G@295vFty2;Vkm%b5~6d6rE;$zEXk~ANO*TEF zWKv`KFLFL(*86L&q4dsWFQAL5@126y$>tZ+>>IxqkkFoLIt%E9;m^REI3Lf#bnh|fjaph?3Nq8;Z$swP)(k9pd;Ns4J$Sd=T7v z_C1;rviCtk$MU{M;Jp!8!U_`H+xkI#-bj)+O&uwO1gkO?1ZmNGhtRzM(qeDK#&=1B zunly}fa_$fc4SU6L#iWtM(xge-j>eWtUP|c6G0Ew^6a-Sl72js;^WZ-;`gbMAzsF2 zNVt5D-Olwg2Xv#q=8d#~*D8?aU@Ldi#TwF@4_z#`C0#%zOmLSQk@9@fFK~?M-_JX?H9BQ-jy?2!j3#_B#WKFqM#%D}^?$)cA%GP_nabDf`W` zL<6coYo`4M_m#yYz!X8cf6?+LL$D1pA>d?KNOyl#gEw*AB})89BTytc;$JF)!+IAX z)1&YyQKbAtvLil%r2S5S%%HAu+9Az4EF%##Qk{f;y6q}$I_Q=jmVfP0P;V64ql7dh z+7?2(u?A^5JkNo(!cA8I=(vVfDkugA}qC?DH;fOQH*gl?4*uoY|vJD8g8g?*OHUZZjmXuD3yO z(#_OFW3kvfiii{4Okjz(?-4od-JF1AvqA+yj+~ERBIDBH+hDTt3gt9+)@y*ZKJpF0 zB_3%6HJg`ON%Ndj2_q;gLsEnl?}HCgPoz5HR)KAEMMma*kZqeQGP#a&A!8$zt(@}g z12o7Z>jMYC_JO9{ki7t}zwHAcv=0i}_pE(zz73?7xunYoM2i;F+z=7uo>PL}p>smAvtz%L4oeTA zyRq_a^I=gMG92;Q0hhMb?WS_rw6(-%wNp86%?fTU%RYM}GljVFZa+v~HEJIO6mau0 zf`-QLCiz~Y{vhc@$_)WEhe@Q@yrDGPyoT?&M()b0o<_f4XS+ZyMKhoGTDeKcyzdY~ zUb~9kX1h0@W6Qqd$K`uor=%&N$lQp_x28RvpZrl#pnOI_K4E$55D3FPS?D!s8nNb& zde$D0uw*;}k;S>S2oZTONUv&9MT#LX?r0TMq%8RcN_qOZ+At=Lokm5cR_~{<$5)#!_ zBPkNigAJL5Y$gv!1qfEiDC-wFcLp8D3?2*6l)bZmU)# zfzmDzvTIh87TrEbk6Myzh!*N@BztXa*5clc6tAa!J!8}phJXRs`4dr9zp8J*_3e@Z zDe!YH1WeTaJE$^UwTd}U&m{ozXpo>z54~TIqBLH?k^aSApJ6_&<-t!-cFWGS-h#DVz90`hzh5~uuR z5mL{SMQ^L;$)XX|^JLMe>v^*1OY3>EY<3pQ!EtMfx&APZ)$^t`WH{opGdA;BJ@0za zIUnY+dg=#oV=d;f7osGG#ys{#9_FzRvYE$TBN%P-i@iquLDGqo8`2+t{CnPpIr}ej zZAf;+-)7+kU%5$0z3&i0Ub~9kX1h1i2u54GH^axpW2>veQlpK;W-4lkWf_1i4TsB- zj?RXLfRbYW&O~C&;#o*?v@;>wZWM$i(uD7+rRQu1?w|ESvLi5A`a+WTnN*~uM^Ye= z?FixvtFjI}(8LRxk=DCpNbshh+Qha!GNcE7TlTXp!gl6%K?XYIPDVf*qKLA7`y6CY z=@@^NXwAv>5FLT7!hlnYW)$69%R*Xh{W=093)AeJ2z8neq+17B3=!U6LWX&ml-0d; znjK^zE~GW@I%$Y$gTvH_jTEiDQl!h=c$N-YP{eL5VjXuoJtLdeWC%KQr+FZKaY%Lu zWkzOQ%wwp+_Z_duh>rLi6eM)m9LTyk?>_uaU>GwbrLm*2P-#SxDPJ^_J=}UnXOpnH zM!-ejo*(pBL_M4$N~h}GP>^(F+`>r5=*@p8pdiuShAVH-Ghi)@4?;2#|B)Ut){D1hbL)SlUB=%&wvLHzQ;@)_v8@s;PZ`12FMIOv)cL}KM zGkK}O%5KsUnN7*F=^%Hi>0;=oA^IBiNde1Lvk~+`9&|&BBP0{ya$ZOSACMyfWK@PE zur9dtMfgX!2vs za41Ae>GnH_n2!1b8J?;1L3q^i@m6(?h^b3H`17-In+TFK&yGl{x$53Wu5>p7NgK)h zJxJ+WRrK<&=5K`FhBVJ%2(lRlA<6orp#MW9&3k}t-59h`)#@EBfVA9Jg@2EH2Mdzy zE&)P**n#{GvwslJpdCM8anJP(k~jUCR#zu>Fa-8U`T4=iY55 zLueJWL;O08jdwM5obWmi6$YDb7D&@=7PRI$NGQ`M|nJHc?L zkfy3xx4l0|;oq5$ri$h#q^T-mnyMi_2QxpKYDZrz+Eq}apSQ^h(YzsDdA$v7Ye-s# z^zcEVE}gu(g6vfQ<@_99Glqf@mB1q2iNWOlab*DglnQ|JnPndPhGX}-U$of)E=q1T_Ae~q4 zja;9BN=@JMLfDf9Brc?uQEsDd$Z<|5QZ~}dZ4OW^>6xWAZ}vN?Hv6d2ZN@B#IBPvt zAfMphSSyq(l87IPyNCPQ#X{?mrhh- zTVEdV?^L$h(EiB~1ifek2?Yf9J1Ar;0h6Zq2&crO46cju?e;^B4-p!gGE={ol(4QM!xi zR&{=&R)T;Q)2;XNM6DoQMx^fK<>41g2&j2IEpFGk`b`DVKt00Vk*Q>vemDv_Z5^qD z>!?_-vZU=O_uC5YbL(@5PIPXyb;QPul|~Ry(l$cGthSCYT`6fD0UyumlBJZij(}Hs z^}Mj!R@z@=~-@y zSbeY}UIh#`evtPY0x#q|k+_jaW0Sjj^^O`9t|&s5B>_aVKYs>3>au=^D_jIA#kg9u zccOa`19@gg$!a%(YO~c%Xeed9Be)yeWo&4=8lO2hkZ!Fa z4B@&QYYI zx`gs{c2sI@8g*Qf;?+NxdaC~cIlC*PtNWbuis()^B!|SvfWDvzh!uB66!OiG32DzD z!FV`=W160~Ia4wEVm+t6o~K@}(C5_G^VFk7$?6YSdnJ7o$RiKxDGy*(uTIGoj+W#j zm|IfRQ&Nn%B}F|Yg(4;?>M1G4+>)Z6k^;n9OH$NRQpj9AlA@mSz>suBwxp=1qyVxc zMLi|O3|msvQ&JcbHxg-VTT;|hQp~U=MLi`2n*JM1GEDP~EEdP)lT zRF|Zvr=(EinVmyY$o79EMLi|OOj}Z%V?#e-*o5^C!6=N+=-lE~2%KCGG>=KRIq(2u7;Kk&>Gf@=)5)wa39byjE? z9hL5D4w1FjUeIu;5F|fU*LSt-c_apzL1s|m-%5)y15j`t{sqNMeN{kQ&(Ai?;_fbs zYoWLmSe!y}cPUPBcX#*V?(S~IeQ~!IcX!&4-}}G$ZqCf)B$;zFGs&DJkA2wM25azb zvXE9c>ddut(6Y6K*&P2z7T*lK3>t1|s0%E#xV}wLRh9;Y9Wsox+%_!i<=L3 zXOX6}uOApgPd1)%V4@dUm8g=A!`lMDEbW|Za=BImZ-q96zrZ6t9~C+v8C}e^nL`qSf_sP7ylq8t zJxfb4O3NLd;u&D#deA0@OA5YZ72n2eWQKg@Kl7q2P~!{UA~JGJg9F{=HC&47IOPsR zSAk`{#b`|&Ul76NnXN2~;|ZRSp+Xa%nD~KLbWV~RBTzM8t!%ziBp^@g(PrEO5h-S| z?+KWXt}-K>*Ra;%NAa0|`t-A>Y%1i6^o>}CwM>_SPaN;#0_+K%J_|Buw1v^K^}gw{l#hRmkf}JV zRf|o=0KmC%zPO8P!;JidGf+1_|H!n)9u9OpAl|pzqSgc;99XF@4 zCTQC#;fbvR?X# z9wi!oBe!kv1YhRd@(~?h(wx#GX4dZyN*!#N3MFK$9-Bp|>|NGQD?(tXGbgCm4DrH&G%U3Bg3oa$K-{nnu~F2BN*$g?FUjD@ zcos9AWCjs{oR)dx@t=HSt__2@cE9*?Aw>uJp1j?7bp2cSrCEWMZ?MqC>W!FWIAurk zP+}{g4p$%GtV9GO7mCn&nqA!(E{>+UVF8o+guiXB2x+1jwhrn*>(giBkCWCq<0GV= zHTYOpspQYyJdv8>J`3xyjE)zL0u%*sv7xG6y$XKbHE+L#rR+mwnz~^!B-f6D3zVeB!9-!C2Y-xK{(#d-~Dr{ zkfJMnSDtf-b0eWMMg^G;CmD0q$namVC&};g5YKS+wo@(?euL{Bi*9g4o^o_8TR`9Z zAHpyg_nEGUdtyP594yu!cA46^nI%KrlVbpg>#>*`d$bqlv zd7o0ZiHZ5%)KC4hXrDEx8Q+nl;f+pnFOr2f#H%8Uo>g$a{wZY)WO@l>Ok7h?qkdQC zowp0&_+N7?oX7VjvJFDW#yTfWLn(Phh)3<#7l1>c=Eea(7O^;@EvSJ z<|oMDQ@bfGK28<8ojxqYmFuy~evUgGnjSIk=t`N7!Y&2OF@MUNut>nFkX89~bSGB0 zxI%dhMjvuQ+~bSC>qk-@4macK@xm3e}W{wF7Mor zzhC!{W1j`-DLR&Y{?G<%OkO2A0goK+U3X+~^<+5vC6S~W*-8Upw%NrnL3YR~{K3Wg z@qi!8=LRL?oihGREtWx&#-5#*HE;lwbP0W=S@v^4@;p+)=RjWiy0@US#Xu)6&re zW$wzgf9tS3A62Nfm&>hEj=1Y1Tz<2igX;nV$)ff3TZk+XwhT%RS-z}Zj}UJA*Q0A4 z2gfwUX#3j_Hm>8W9p{Ti9g=b}7--*Z2 zn7U0@oVBOv?~VEQiSJ8;x3?``30=Q#>_uPo7kgNxnWrB(1w=)24OWi`wLe|>Z)Z&V z!69txE~$)8QX`x?lEUS&t>Gq`-(-{_Qda#^oVGqNRU?j5ly|K@4Z+B`^=GEHgxFsf zr(n+5^?xbY7IheAbde(Qg}AwxtW~dqJIk;uc2^79Z&i+XvU3;@F~78dFxW}d**Mr) zj)_nSaU?N38*t+tpTVY#8qK)f&QtBw(k=VrN+%!fMR8i+5Ee2XxOUS+^98lF7Nc+%)mR3#wt`I&zELHGZ(o1BXy9wg)L< zYvLK^>d?(2l|GJ>@;flq%9&kTw2U#&#EA}7+GWcmV1Qlsz>g4c6_``YEGqC!ZLm+ zRZ@6Qo`~9p(Q%~OIFHIY78K93%%ya-Ir~|$u9yAT6iqN{gSMhdG^p3-;9s3S-NLkH z=HxN`W2uR}S5FKE+oka!$Aca<4`+*>5BFOVrh9bd*&nVjP_qvsK%b*lvRd!1ML#CL zt&JD%M(a6*aRWsLL|B+-0=3?yw*CuSyb-e>$u@)=I9%Ns2cf3YF~onyas13j!hDd% zWt<|QdRHi|CuDbkrM5PbfD!aJN~)2JM*Pj#AS!f&canhdo}S*pe?h_-(;D<-T>v z%G{hT<(E$_^Rju{(~VSrH$*`SeCAB;Nx;*B4S_z-|J4ffyL80G-`Svi-Yp@3SsN+$%P#A|lQ%IQSzuK+zTe`rKsx2cJMMLPKvRV%~Zd!vf^eyYU_RW=O z7atkgwHtHt_&y@K;hz3PO}#oKGd%m56ZnM&lAvyF@Nst)})CrK1o*Xca$ zXA+i*2qYfauumXhtRKgzN)1K<#YPFnmE7Vz;pO zs1AX;?pS+!%;d8=&Uv2H#LVvF=3-U531NSBkYYfBenm&{RtkX|k0C6R!UK6sJA&>V zwlA{YvovZ3qH#4Xj`}uls{A!Ae_DSzG*gUyuBtdilfhZ*p0DM8)=j;I?gv?)CT5I? z&n|MuR-!)pGhHGh`p@(20`fDXajzmRz9slpihN!V31=@&*Nsm6Lwnzh&Fgz5G~E@r9^s~?4Phlg*az-pc7YTGTK`(pnIV^jT(aP)5M z%6Qt5^b0}$l6Zi9qAVQN@8ysg?EPSbiJ7VYzUrVj6%kmp%fpQpC5cpSQjuscc9hfK< zl8<`>9HbEblXrF=fW`#+n8}~FrZvwsYz^s|g4AEkchu?QTLNO^4VKh;OY-<0d~ z8fuBTdsUg+pL4zVK3ZSK?k@N{o!x!GGB%UUEl$3~dz7tT_P6_j;Oj(8b^pyui0AB& zqU#$n(2&>AJ*dMnYVEG3?s$D=J1X(zm{QS;Z!5p&a{m#zSxhcUNOWz*WqaaMVQHx% z=pa64d>!48LqTExT+qE1zYFqRDI2eezZf%cX912i%v<@hbe<-DMAuo2E*yC`Th*G{ zl3{S)uy5b*wHejND)NIaHwd9a_^_= z+;k1P`5y)0KKwObsIIFpa;VJ&zbAmq%Q5`ZTk0#I2eQP&i5zgb-*J!XE`jb-XzK&i z%Ruuf{NV%Kb~z&UI3=d(xr^z#N2Ts0dy(9F3AG?D?NMs!QTipjO`x+4|iY zdzs@oSmJ?sG0pVLx5=D1d~cX?dbP|9LOBexv4t#hYaH2T9{!!@9{KuglzDhP&;1W< zo9U;2L_29tApH~NG$~=ZZyamwVP!JtQ#xucz%{mBNK0}^Vb?%t9=Szgj^xd?O!$*{ z0!lCQV3x1;2L_LyR(N<`4xX`Ybp&{cZFF}Ak1`QS0oh@@4o)xTePIiaQrn0b;i9cF zB)nsT9M8By_|qWzp1{eiXe)+IumHC-*b7<5Snl$#!t2?GR5Z(5AD-}`FfU) zstS0?O!g2@PP$c7hcjE}&i$lW;<)vc&JW@K(PTspfCWLy+$} zXY`lXwSwzr2`RFEPpyH?pgpUwMD+=#yEUb}I&B@!o(ChTF1{^_y6t^WuY7OW|41zs z`1jv!W*_MaJ!bz;w!f;i#lqWWR)6ke-q>9EXx64|0*5ze z^3lA${A@y0&UC~0Ycdif_;iwQBdEen6je6ll3*bf92Op^J3x{pTqdQJyD5h*1Vjm!Bq&Qos?B zoFQHED}^3K_?OdUUZzJ1=wLL&X91MD*!+ld8ERqzW|7Ygr&wr1mS1r)O!uSUitG9E z!sM}LU$h9YB&NE`9r?Ya50UXr?Vu{b9o-mR_4O?R)I1Uu@SNoGOJ8vn&^gI1V8$zh z(;kh&#kZ^N!Hu6NrR(Qqjirmyo)5oEusVbe^D~T^{!B3_gC&6rP$*%C`AZ&xHOb~n z;aORO0PU8fSXR>n6Mv}wp{15qiy3?;Uw4NQoV6=KkrkRG04@6aFtCsM7wcJb)lp)Z zL6C5J*t=f%=y`9Mz$Dy8s^B`cq2l=0$8z(HVb>#sCpVHbne$Xwi7xoO23i zxMl_s!4R%6$~{Rl1iqh4p8y33`n;Sc))*O%5Fwlp!zvr9$X{2-5+Gxp} ze>gk_Cy~OZNmx%SBTlZOb{Oe3m%eZEt4@2ZUu7hoyA&_W>_b%V*n^!BUuz<#Bv?@_ zY|F4iXoEI;Pe0=8`%r5YV*P-sqP}vq#mL+yk5kJ9D=MUX0q@PpE&8LqsQV27NO|fL zzp2*10V3uu>IZ*96a%SjxQRkVxwhcYXR?WRM(9R3}1;O#tEt_b*@$ znNh={HRIo8K6`j=!^ZNYRB@me9;_Rt$dy3NO>Tg?9a{c3k3|zxIb>Jqi~S)QI$}_$ zIMtcGXH6;ZF+a^Yh9L|yIl^v5S7?If?n3m$(lT=6#*ep!V4Vq&E#oWryBMdEW7BB` z@z9SePu!-wsmjDt#Rw4*=$01vo6v$Q3Chau4So7stzTot3Nk>{=`x_qYK+>^;yb$(ty1dGR!!8h^Q6)qvpeKvHcshEVa*-mq$ zWcpmY_YYB&D-hgkg!D}bi9OJxs$PBC?=Xkjcs?hx@m@3(H-r4 zy=fyJo~M0@`Me<=0>lbdz^VL0BKNBf=-@Da5$kYC#U_^#&G%0Mfuc&aivcueLI* zqgBKqp2i4-V{j2iW1LD70t=b^JmtlyqQ%;@U%aQOZtX;TR}oZbbAv<%_*D>+M|M8& z-)PEER86)3V@Jy>WsZld;QI6!#TxjY20HE8xZ(}tsxK%?M@yge$Im%)FoQUo-_Y_s z?MUJmSwwzMNopf?%(KqS4PsK_%7WZkP@os@eFy+UY430`LG~ciQie7#db>y#{QVTH zr!W^!Q-qANYbMbV*5psCz0FQQR^68n!huZ0d& zfZWD{-T87|s~qj`D0{{Kc>Z@amh;5(tDi54U1>Wws9X|cj(`Z?D>pvKbQ6&Ea_}x{ zEaZV6^rXBMM7NguNW#B5VSbQJdC;gY&wRT|EH8Dts&s^oHSW}NV7{g5k? zHVXIIe--%j&v$v_H&I~R7?=TlaaMWzOWm+IA#@}7#k{Kh!ketJV+t`5R!gUL0V0bY zDsh;Uki8JN5x0P$*(i^=RVbP^jag`Du`x$}HJCbsdFu-*ljg_2+BmPDn&XB|8I-`Y z83xMMz@k$XuXS(V3GVf~{*iS&AD^4Gl|{M}Pi6i2i9=cZ;yH>JAI5+7soA@X(^O2F z6bZr)df1x{biEi|-2a~Lf7VMA!VfG`gb$0r6#YhCN}1ZVQ1$7h*3rdCKtqI~o6&@2 z75kf>D}$BtXJ^P5K>R8a1ygoW<6z;CZh+;*RU@#HPG+=4YQE4TT$HF- zMUEkG9sjDnJq<`ev34TloeW$Zr|gIE7{JFlW$W^)!j!B35q93TfnU%7iB-*wR)mX8 zU!@!L zAdHRj{@{+!md`}(A6{hXRBP5k6wTPGPzabBS6KYAguwJ;>6fBi*TgQRor%luVJAnl*(Pn2vEFh<_!q_8vAO^5?)#A_ryj_$+dL07i=^=#CKD6gM z6jTZR`cZyE=E!vu!I?z!PEmJk?{fPDA$7?$jFF#kQ-7ry+qx6=4=@IQp;jU0BNy{L z$w5*5_ltQj{dm?p59g&~dQ|ISw?6OR#^H6w!utu8r292uA1lq{mcp0G?ily=FjBM% z8XNu2)~tcW(OeMBnoA$R~J>w;Km-5-Ozz!y3ZXrPuY1!gN#WixX+L*K+R2$_-eKD z+(EiCH$H=~X!Di-A$%ex0w?3kXqQc1S|cBh<(ehK?4x%(+iXG%7K-6k?EdfqZG8Ym zT~Rcw2nR)3YUz!9jU5u@fUCcdF@~c*v{*wcK1{j{G1z%_f4Iu<`$;;*k4jif9cU0l zwpy~zVq_SO*D4Tyq2nM4wPI*G`fr3nT#Y3MITVSk~A8JoJs*)LWi(z5xEKcS&DLS)GeQMO<< zwcLq=p=kVWDZay1^eJ-P40n7NiJ}?)vdpZs>yCa_v}N$GsE%>3Gj~9XX3c$kw}C}h zp05w1O@!VlMLqKGI$2{s$)7EQJz}?LAG8UIde}9r&QvjDDxp{DGi|g8JqW7v*Z(@G zbi-8j)_m05f%0*KHT&@^u;&eF|LffI${H^_O8;Q1Z23QeKiU7U3Au^J^;6g&+}D*4 zc_C`!3{i5JwY>sy^(p z{98c8bF<_Mr*%?(JG4S^ep#3w^6I|_or304`P^v8hs6TKbvcJ`>Jo&F36L}?tNn?? z&k|}6j?0qDluW$6N-h3yJncrth(YCCrWl$^?gW&!bAdZi7nuTJiy-RzGD+O1AqG9KJ@e z7ht)CpNILB^s4K;z3EogwStSZ;qPla1(S#^FphH);( zaq&5<+0B5p>AEosY4C3E2X8XlfdvMVTLEivNv@R*D4gyZI!t`>5!M9*?ioR8-|{X| zB-W9yr!v&iw*^_XQcW ztx#_}(0tL{*L+enj^NR@^MMMhX_v>pfWYkA)1tS~E!HBH=YTinUU)k!!za2V7{&H_ zv%*I)GBdR$ato8x?q)5uC|Z(bk5MtDsq>JASe#Kq56$MdL$yAuVlBTY86S$LS)GGY zZBBCL-`#_!;qsCS2PnGVt`!Z3llZVBGa9MyJ~}{pQlv?Dc5_j-`Skph4?5unj9@y) zs3%DnG)K;4y5eLT-O*SU00#}$OemeBp;7gdsfFouu<-?aV9q3Aa#xF3K)S*~w8)p+ z+Yh$n|FKbIUIu??3u3JFrT+{qC)WW-%}skg@JzkvKhOCwp?9Jcj50@H9^Hqj!zDzZ z{gsO%GbtiJMHRcDz2v_kU{_e((0*kJZ|Z=eQ_QNEG~SYd9($&$nA#o>J~Jk^qvTHr zQ#q}P(B+ep1j%if z2^y7=)M;zyXZB}W<*7rfyO7|1x_?@tMWv;RYi^q&z{IXga+_2{jbA3uPiJ-IG+0%? z1lJt{S2HhlZ`mCU4k?(wA!KZD}tG7bk?_M1cvy+;P|4{O zyRZ9|BP7wGjHJHAo~b4hV2iIDj8*|~6?EQNA*Q@P8}FD=oHgydl{Bd8U?|x`56)*V z9hxpry5a6?*97A`;r_vkpCxtv{R_YM-cqgTlOTos8tqwWo6EZSLS}YYvoP^h-Ywhr z*NnT`asR#3^3lG)x?X-7->93<-#}&;vh(SSwJ!*z7vo<%Nw!@)a9TIjJXX5~2Yq`h zkNm(}cDEdlA`g5%aceUVud@A$6i$BWq!SJ&X@nAv;u`I@`mh#ZVy25;`+hG*hwv}h-PMskm?Qg~Yma-x?25=j_X~wg4~Ep%$_p^W@F_@0i4DpFa=|9ryW1lF z5K_iL(T%GOgvy{~3{|H- z_Qj@_*KdC>mS9TesD)N1440HYK}ICbSA(jI7(b2fxlMjYNOYIm#3{m$om5zC`h_d9 zHr__r@aGOD>W(3c7b-;}DU-H|n2r;m+<9O#aTq5ubOs)@k_{b&k(cbVt&x_hi;air zz?X>UAN^XvU{QsKfFy(%@wL*j{+r+}!qs;8aKFF!pL={|o;kv?!qxRb2+}q9#j`}Y zP?_fLLc0u&T7{92R#RO?YBPl`2-BJfrijxG6I744F9jCwjs3C=8{m{Z>$vg_9N$td zEz(Ru;kcx>4q?|B-x7_89xj9t5xaDxd=Qj)=0N;9x}pd}IwnB%lm@=pb3!_(F5Bf1 zQC!0|kX}a+24>R`Q?|-{M3S8*_1A+9GVTM_U}k6RK@QVQfv>BQpcABGRD?j@2#PW| zCzx-8v%|wA`{4MP($l1LX&KDtoM%uLGi!^tvJMA%)|qt5aK+k6pS9>IHs(AF@-DXS z{O`z4P!yxQ6c~4;O(f0bbI!;2ztHFtn>(nH+v#oDil|Mo{Tqv?l zq|+()mKhRsJa5*qehQv(Qm(pE5oV;uc7Ux^$JKIpXC>@P=m}_e2k`T@Rd%MrRe!V3 z&lQLavC~r7FPTZ~{Zq+c#4!D?Ttw0fB-(riQFZ4aYQQM>#Sd_cx$^$~6|WDZ8hwIb zTKv6=uviw7z%qpm@Kc(g=*#^{dyYYGOau*_gQv5O>dJP7=ZVhGmDWUHLPU37 zo9HJIJNVYM0?QKKz^=PhGO8Wo1Vf)XN?{$W5x40BNFq1>d`4F{l5XkMQe&Euqo}zS^${D)sbkxlCiM0+Thc z3FZ-;uJq>U^|y(N*R=fiUNmaKnbC{fL8$_xq{}^DFsB`L>2R@!2B+oSanb;>0?k!uQ_R&m zM0H;B(?72+)Z;)0ilQXoS6Mo#ImmNMlk*MFToai-=4ax$u7IftXGh)4FYv|6`s7MUxGedqTO0p)*IPL=mf_6u+%t2((2 z^*36q+WduuhcL;zC?!%Z;Ug!4IEQIT4mtfIpw5I>p!~BMugjO?9H|_+VZ5Rb%C$Dv zV6@nKD_-aRtt|Jq$6g8zsO*}e+X_E{!sSYzzc*fX|E;VS>Ci!cro&@4h2ugp7^%-* z_VJjpNh~bLYw=B#MdB8@ePJ^0q0&SjW+^VxBl=YT^FN6k&*zzSSCKt)n9j%>juX9! z=k!)RsF(CpJ;r}pxq5jotMHu__l<6@fOGS>7mj@miZl9c{uQp4f|Q7~K=r}y%lj%S zzj3YpUVhx;g|f5?tprt1Rx`cP;?(=7vy_QmMlF0t29tM61yZKuATPqx(cTKp-cgmo z7mxKCqxa}Og(WXnSJ=v_`)Yre>R-ja_l-g<=v&qm#mwPysIuD>gbn-h&kHq_#VWa& zG<{!Rw0gQ9GspMyJ1e+~y7c!fp4D1k)~DI58SpxervBFfOxMYh#Bd;d`yZ42nz z5;_aP%=LYjPPCJ~vEN|#Ys2^5rdf?VXInPbNhGbxW|>60kiL^$_(`{H3J(Mc5R5hi z&2q<_8QN&ry4u4t810iCx~%p`+B4Mua z920A>I@DU7p=HgL(!YH#Otm_}qa|G8)tjt|Y0b!KEFa_4X5`>1J&=t>2uVCfd635R z#M-$94Y>LnJ4wCOIT6;87vu-<8Wt23{+fVdvh>kzGAtJ_-WH56_VGrvLhU&Yv0-t(Gp%wX98f#|7}lGUZ%z0oHOMNz{$+9ofP7XKMUgUz|elq&}p)?U<}Sd81Q!6jm! zz;S*TF~B{vXR-PwtrV%Ox;)c8HfF*6n?uhF~8UX{RurT1z zoLI&}EwuMgg5>#}BWe0IaKA5Po4#!KMuP&%DoJx;#DKb^bp#9SGk}Oayd-zuYcp(N@;tCyq-?}5&3qycI%oL4RCN>j zvv-iHLqT{G`==i9#1FEe#4|xqQZ&BIA84_YSEV!cuE$@Oznr_;YvlXP|teQ(cY6W z+IsBv+)27`p0^@#N7b|#;Xh?w!nmYFN`U|oMOX-oVQ)orM9(_1y{U%3P|(x`Yu-Ky z8dNt?CG?v7F96@etZu{FH)9}?_<}}?3|~ounD#$eF#NQCiQ6v<5gWO3=*N0RmI}`q+`DhJtq@u&5^f%B8>JrzVy8yl@=4E5!GYG z_^$`!4u2F)Ji(2aNZawaFt>>PGEopoCzzui8LF&<|ThK7t}d z04sfWjCc7*NO@}`Zis6OpTKy7cEG0M=JmWF-FZ8pefWwEBw_!!6;Kqs2`8Ap$t9>% zx(Qczf5Z)DkKcrYcJ4skV+!4b(|ethgs#|xd!p_@WzYC|WCOv}`UG#-3Luh$*g(Z4 zZ^Dt1@UTeCqV(GW@2ZN{p{h;Jxxq_9n{ZccD>hJsm(8{fHB6tr6WoK}VE2goB;1i! zxbmw>Thjq~RvP^QRceU~bvT(*YWpYPzcJ&mAuxHRM!8L7j_{{S#Dp=r&m&Q0FM*eI z?s8!G><%oN2tC@vrf0Xj^qN?!zJPt?l!f`l2W&4vMLn&=ZX^%Jm;f9ykd0g6n-WZY zB+6Y*=B%IYU!QR}5=t><^E+4-e~#h0!r72#0h)49f@oMKcs*GGWCOy)xb?*KM1QhJ zRvvN?Qmn+VNCQy_5`uzwbHWeorEIk?{|1+-6&__!p?1I8E2Y=oAjKGYQ5yCw!9%oN zUFo1!S!&TT`q^xuGOjLI(QRCuUa;-Eko-4;YRRRLtvlfj22kbH!ViJ1107;d7JvA& zOlP4wfkQ}XVM}Nov;I)tij8&_Bd2@46fa=b7P3Xz(a#m1ZCVHRCCKTG-5)$*Wpryr z@s;5M@dOy+TU)3fog03%uwr~lmjjr4v*$&lK4CjTbETm6Ih*Tejw@FVNYK0F(kK7Y zQ33J6eZGI^vEp%*C*fKh911X*3WH0YCBOzqcrBrFHqVUt0X=k1y24HiFJDQ(&8KL# z^-T)r@K@XP%~q9Z0YcMT`tAS^;QcZ-3=VZS)XzNBJ0)BKgP)0rSS9w}9vT7Bk$SB7 z7Si_|*dD*u6K>tGW)p{^U@g|z_!_4#MdjklLfoOh1CMo`&Jr2m$32=%)4~mB(ZzxF zlj~BxI<0X(BSw=QpiF`DQ0)$LfQ2@|_CmP#QD{;oe7b$lddh@8U^eQ>+d`}n+5h9r zXMtiN>An!RhVRY=9-(gHl+Dj)4*8<2>_hdHoWrSuLxQGinAPsqp(fHe<%LH`M&^uX z6Y2;g7H@)cLKpZd&43F2Qkld@^?|hgRvuR^sA~s}uh9LXLZd5%&IM*&9;3PQ-1ELD z+{;_y8^7M|k;{m~=@hwlgjqCv=Awlyvh@FL#m|!#0>bCd^`POaxoNRMxO7S!;3NT5 zX&8TITfp1Cv5vO!3Nb>N{h2V7+~7ax;+kFPa2Rn}c|UZG^7~V`hm3)1jvs>4tWss0 zR+ct34{>ho4jHH#+&RRWsA`Ese?;|r%Vfs@_EmDQiex*rqK>@{^cM3lL@CnIY&L63 zn0pGzrlC>OIio0c6%QlF0zti$A7RI*-Vu)?x1y;1^tfXL{HWQPb}$DZ}8Of4OlEuIsKJY*c33{TzkXOR_-%(dNL64ZTx%Mk33YDKfM0h{T=}Es^*3q z|G>@wvdEiy1zr&QWo6DnCG9Wr&4f;J;GTJPg*{u>`%ZY4t+u;=WbB#K;;wUzc4fBt zqaxBYXjBassx|>QE>tQU8%e&r%kQ0|ZM)c~qY&2UZz*MMlQJ0u1LbLV97o6b$DTq3 zsJU`CGH`cB3-w9stFKwjKK(42^_01gm`V_()|}lq|vn32KIQ7|3_he_B^Z6z8N{Ph@BUaBMfOAC4xHYUm1un zHZYdnOjG~n@PgNdUfCT37L4WZz5U?66}>Z2!l?b(Vgx?1WmOLYapk< z(T|Okb}%P*6Zs-ErJEnFIo-xYCph44s~Ukf8-7%=+S zgL!{)j)gWU7S0Z^fX?&t*Bv@zi6SGW))6Acb1_iUusN4?Ct~U8*h$9d=4`|1MVB;0 zHEQNpV8*`N7RiZP#hkaei5ifa_aGq6F3az+d)WVSy`P8LW%pS4_Snz^AJgr#kT=Y_ z$t!|+o}2Ak8p>tmPN~ZQ_6$%bkg)#@Lx%|!rzR~KLyH9Zn^236lxXt<^if(DZo+*p zjJPrW83k^~jJ>|_k@;O-KZituQco2g+5r0)AowOKs!W9*9`2qo8@{yKf&?Js7b>j( z!9Rx~&^v{x8bT6og&n!W$>XP^?D8v~l2N=fn&`YBP9^YL&a(yrQG3&O$>y>2k5X$| zES3JxN7Q=W`;hU4at8NiVtSx*_gOq11b@m;3hg}6`-oWNraJI&@S=*J4!4{~_4|_X zTat>GHGhNX9cK23_UgLB7iq)b0{_gu>%*3igHu6jK4u?N3Il0pl-Bq6S$()ld>OEdDq&Y z)eJK`(pJ0OXL1q-I*n%)C<0@tgRrd?3M2JIxRQhZ%ba4;sN0Z5y88Bo8#HY2Mh0LP z#uwRt7KB~1JWg9>$RNk@mLv=q)!EA}yIK!_6SIc@bQ-j@__5bYeYRJ*P{KdkHZCL! zqh>{@sln{Rs57YT=0GDfNG1~gaAGftm^w>&dctI~6CS&W0=}a?!7+cXHuq2$c!_20 zB<92DAx0&P-NUxjFj96Mr$^B=WcNqrdrpc3gA{+z)rY`9wcIEao6Ewkv~xbwwWywo}RJ z#bcoYR~zQsvY#aH(6iFbeXJ7Y+R+>y?U$OlNfCwom8VHi-H-(V!euz8RzZ^-&V)C6kxs}Jep(7FmXb+ z(c=IsjI+qLHsSRH4!=RSB9g`uF0#L$< zQEal$@S_kO-AFu;tSq4%BZOehNqxAaly+Zr$<+uT zUqkLt7LjZ9_C5TBohzBhHv7wYQQDc_1JBIT%yTmpwjC6GH8gTR3cyj(b=pVVF)_!P&bfT@jI>;SxDSg6BE zxPpUMXz9`VX&CDB14K}~92V!fc=}Hjd|)|-V(GcKqQ(;`aozh5y1<#0>?}a}08v^7 z?FK1^4_M149(VnnV05t|oK_@UQpjP0FT6H&Whos zl1|M5{3n=N8zS|&{#d@b*IdY5`RjNi%dTZ;0c6|uI2(}qG{|-n1K1rx1lteq0g4 zrJH~!2JL*E>R(F`D}?8y(soK|=nS4}8>q*J6{z2{LkNyP#S065dl3{)5&O?~ed-&F zU(kB3`*U}|)j=PFG0#E>>6WD?DmgHuLCMo_rt7p|m+LG+*W4N4$Po{yvZ;Tt@CX7P zDb>Ov;wIbF{Pvg4vql6WMZy8@^Up*Y@XC3CB2u=BYIuGNO5-+X(;tux-@N==GkSoi zpAzxB1AjVG!zHG;$R*@Jy>5>~ z4?U(}muVPUnUg{V&FS_Z#h03A@cWnS?PpptCSpyGKEo=88gc;)@`!1${ZBIc{XKyu z@YA;bRXwFt>dD+I*hd^E3jTR+@1b3b-|5(~PHBR^o+XpVe)BkY_5v_NUjZcRb_NAB z-03A8U(E17%z5bj#4;3~2s5u(@eKt*sHw%Hu;lG`Xhq}&FXqntDUycV0Eb5R>T{UCgMQ)%cblq zBi_~26`U1DJ#j5~MGfYDc~xq`Y6;N3jkPLM_%T*OxG-*mqw)^y#*TbyQHuJ*h`UAxxA?aAE=~U0%18f4~xCdfx zaPqUjt)Y5I?(l+21&V!^>r%t2^ja`V059keus88SVh%d>BB6LA5gGaTcks3HH$^Qc z+^;WrwAFN^Ec86|sk*;ppY8~%zl;?Ui zCD^C6%GCwS+m_h?!D|He^y%X&Px`-&DF5>JEvEdu@z;3XGScQt^!^`JZvhlX^E`ed z2VAfK!SxOa8r=0b+=3I_2_D?tU4sM&aAKHvf(Lj0Jju7}{k>IJ^QqpQ zo$2nKovOW=?Y3G=NpXLYUJkp=>|5^xIT0Vr$OuzKXkFN39#I=gK=-p3Wm?{5<_Df=|GzyS=8t zYew@;jOsgdZs5FMkvV3Q-*-p3l}pW;OS5#pu%oPbKdJdk+r{7c&oiV(h>Nvc20ve~ zvfP7uW5GhK&XSVt!0n4otmcNwrg}t>6dl4=;%ymwRB9i>uPoqRE}{guE!av!%Govn|zc^boN3p6%vxGw`SCsvO zTMctTj!8qS%=9>XI4>Y}V+dZBAjpB+QodD#z)R2$mM27afz?fen#4vdh?94n!z3wx zh*y7fN@opWwvpkpv65$K6A0r6e;IlS4>5x@LLuZef~Xd znZI%Y_FL=g;`l_vy`U7n{P12BglpmyZ_QaOhqjf;4{ul+wMc4=dLnHXQc50W7BX<# zdk40nFcah+AHxSP@}%aERZCg(qUZO8a0sUSb&lDTslU#O8hw<#$wijm$#FkbkGM^I zNrfS$4C}OMdq6$vzN8=^rLruOTV0z<(vt12dDt>&C-?unRfdw9gtW_;H6hn`NwVJL zytGhk5KsVC_P&PZ35y3vU|{~TL=hr&Z@NX=BdQro?C7j*ns|Pr___Vqb-j>(%vh^r za7DX1J|J-DnqQ-GWOeU`8jz!lbgRm_Jtf*QYs;2`eI|Jahu5ed4bb={iub{HbXuTs zYZoCJUVb`*#R#_%%Ue&6D^IsnPlv}(Yfm@xPdCR;_sUO~0Z&`GPa|tTj~^ee+n#RM z{u8e{pJqBAMmmumB9=9NlE^yxa#~q@Tn|_BJXVV|N0j`NnMOoTU+U{jIHDecj$U*a zPEVUJMDHXC#B12cz$u}7n-qnwIrc5Vaku#qv5={j&YS{>ZUv~@&9ttJq{21lq;~61 zgtj0UaG9@jqfnzSjpzSf|E{5BLu)of=*r1s{G$#o&5N9UlG>O0>r8%b@XuSmCGqVvge_$e zdG|Nih~VQg^U=!b7rUfdhwlKwwHKGXhqaj>Xowm0#5yNRlImty0-h?=2mxe2pL5Wv z`Yu!;omYf!TUG=M8u$;CRoz+Gm6m<{gai0aghzU~8mhHZPEAglo*>x6tu64Bt*e57 zt7F<3LhJs;C8g=Rsb)K)L?u`fg9l44=z3WaFb9{;HYva3%9s6~y6_Tt+Up5Zj<0Zo z-yjz;Kvz5RF)g=0S=y+sf|}{@i(%ieb_2?2xNB;_(+g*U?l1Uh!U{2iL7QdkrpPuI zuUJ_(T!NwP69;9y>xmLNtlWTO?= z^-$NDAj!j9di1;LDYj&?*$(M&zWF9!roI%(q^qP3Owk*A*1@ijwJCB~6F#vB+~Cx; zK+zG71d(%yj`?&S2L=?r3g!+8gZ%O^U-zz8jiKnQrwG)r*qA;}LK%&RMdkV_PiySO z4a)YCk}Yn#K~3MG;)B@v@9j&3#>34^l0}6m{9^3wNL)8Va0-n{koGWcDOz0Sm|#JE zL)6$MkpHf)k|0eN9VCeM-3h-!4k5SUX`B@m>eu$0?-`5D+^+( zo7$-+8dnUy{1_P|w{XATkiGeiyQwz|-hwjr@ju-R7k}yea>;Mc8}`_zdsUfUzVe_h z0BEHdjGJm23z0x*mne4+XYvcRFP(_TYuTYW~O0~lCW*U`8UUj>7lQ$9Yu?ZzU&N;>{? zA*4SiTT(l=THL{#%jaL5e|On@A0K| zNGDo4eD#67;wQCVV&tZq-XW?-YBldUYq?sVfKu2Z0;GQMb&@mqUD+icG z2?*=S)f+~wc5%#D90+xQt_%Iu}l<; zXyQx~hSN_W6=hp&ry3faGLvvop_{ACTv@>+X9*Rws?>4YRezeF5SwOjv;1PcL~uPZ zL$*gv8=!r&?btSvjJHxv17oHa&6pNb;gVW;l8ZYp>f27$%aZ%dP#9V%*m3dhAn=d( zq3w5%%PJcy%i0h1>M~GY#tcl3_Ti+^(1!KaACzRVn>tPJ2X3<%BJ6cK*|LwL_Js$V zK@KZw)%7-!YRPth7AZ69I(fN`!HS6`JTxik0PVHTK`FLJ<;kYnMGnT08e#&|@{V)+ zb^dh&ry9uNB?Px3zU;HxmfCWVBItBh->_z{yc!r8EE-=QnFbod(QiYWUgxiSZ=>k( zLNb*>qM6{OeqNcGFJi6b=mh_#V>L#mf|~~KFOQoNF`!BvlHHZ2=M}f2>Q&qIqcvRh z8i=I`I|XB6l~0~fs@raADPSTK`Gs(;;72-@j}CDU3m(9(4{uy;sxN<2ZMMOlNXY0$ zl!SSXK(A*k3SjJlR)+X{sK58A7wweWNu=b1!a6$=|A6$sXu*cW;<)^!cwitAzQnF> z^rE@AdZPwuKgAM~3+4G-scgZ95va5z-7Y_%S?Cx3@4Z~appf`==HQ&S}pXJo$T zR~6gE7KW-I8>~-*fcemD8RLYZf>(f}OJf|o>)#($hK#FTWeA+tI$4dHUSZyIjd6WJ z?8YI-z`L--0~Dj)RZi111vLy3AD2@ zK)K64g$^szIur^9rw!}MRjsM}N+(5fS!0ue9UnJE3PgqIDjQ!lqWdl57zmK+rOl*O zVv-H(`#-#GISAoXGm>4A73v%q@3HM%ActEfoRZJlz@=@CW{w${E3;8ld?=aGF4;uH zsNc$VrJxI96o>{AE`R7O*m>R~bm-jp+sE(}2GZ;v=hY3Ewq~g-J#fypIv6mMXb;Z5 zO^R}Osk3zIrfc@1`1FUBl0{3aVcV<2Q>1n!c)Ku`K#}_k-Lt$wMmWllJLwc{Ukqbl zq*ng?(Lv^*6tLB>nC=bpu_wDmgHuw0spC+5|-OmYgSs)D&#M7() zd0TAH1Z<9m1dp?lH_`Yj0qm(DI}&hSHwRav&q5=4M~h;ACemF-xNBE{W?!3Xt1b03 zExgWGSG(mN>kR{rM9SeDXQAbzH-h03oYRk~(~0b37;1h) zoOw}!MZmKb)POKX7r}Yj@<8)~E6+-3N>(2b5&i%*q5WRFO)9{5UX)MeW_AtvxW$cK zNXjTXQzi?Y+#7mMSmeV7UC*g-CpDvw3T2wLt4BV)TLlg&{k}^qWWD8S$C}lA{Ynbg z8HEDq8!w24cUqlynJQBoNQZ2bT!`#2vIJi37^U zisq=+OpxVeMJGB#7gHBuayBAJ*M#AaI?1aJarB07NBB4_Me4D04MQd4iHHNk1Tg*$ zWH(V<`Pc0rcz=-`Iu~n|!lq;jw37hBUz?&+Li?zJP~^#(EjXVdXNHM9o1pND=oS23 za2@fN_a?9pbr#`?%q2K@bv>TqZW4@~8n@ID$uYdivS3*j1A|NJV7Occ z*@(C&soz4#nI2i2`H5=Dy~Fzb!(dl%_pc{;!~3vUjCVktMe`SbFb1wK^qeCK1+T*z zSfb7CH#K3@=XHAy=LQ!qu!bC*tYqt3-suC( zwYNN(!Xg+1WU#UG=md}Y{Jof{m3im9Zr%V@02OwjG!PyHF%r5B&fWGLtwC3hDr zCVHV4$MRM;WkUTTO3N>xTqLjiYTc>W)UO%RO*^KXhtZrAFYOw!BatHBRtxLBpiRc| zUt{L}My@vscOw757}SN-3Og{!LKIK+1X&gGwWykhy|=JNuHG=ElgeN+QrkPx$@Pt$ ze2(3g@BGaGtW({>uWfYHSmNHCR72gv`y9=n#6;T%S?SI0FkyCanAFwnla5b%wr!e) z(603^DD>3dT37o7iQAFPYO)r$ZY`G>S2dMpf8?`|efQK4qq*f%R?g~y(l6WGDO+2(zM1zL2XtZ)dl{00{AwGT13d>zqW zau4Niu_un~LZvi;{8$DpKr7Z!etfwca+Xu7YnpUe$wKckHK>%)DE&ku!qQei*m2=K z{9Vq6jCkwH{+I6vNVR-P2|#EYHrXDr^%Z&%X1WR@+BSagP>>DNRqf_@%LWM0Tfei# zLRP`N;r3KTJo)}b8P%+BP`F*5rvk?&1IfWoQadh+f{}(+4U-9D!;imq1QYMVV|sOP z(j-_MSWO>ZC#3ZRk?(K{7c7jf-Jqcmnz=!W$|w~9g=dFh@u@0hTZom3N~nknk%dS~ zS*8+qI9Sfe9HB4x>|Sfz)pzU0x~6r1rFuh;pEsuDQW9yZ6~RzUFePi%Ea(xc7)^@* z0$Nb$A8vZl4wiS&i$`?bkPvT;V=qY1rUz1f-FwcfBLX=U{rDrFsh|l>eBGt4o2I}) zDgwepBg4$&X`x7K6YOQSq{;m(qI`{4qa} zI*hA3=Dh+5&$^PTLZZZ?nzSo?r^bS0LJeu-Vp^{=%qx_=B_~Tm@Ea>)clS>=Mf`R^ z;Z+=zga;)%7d;0rvg>G%*&z`{4k75nBcP!_dxATx>E3qt4vHVcP`bBfVh*1;JHExF zloKhvv4id284M>*%D-Op$lKm0NG%glZtHwhWb=KYi|G~E$E{SG{a!RrL5eK`E3h>` z<&Am+%C^`N{c|wIP`4+#Li^7onUmO$1m}KWwk--gmn=29sXjD;+#95BGmA+{HFOD) z#rNe5?7GgqFdJpC*_$ye&Y@xz`z_2=`*vQdMgkNyS;-m0h|kvBfmfpN%-R#`{BZ6fF4$XqBnljQ`xZ<>rq1bbS)xvA}mFETOD77PI~ zUN=p?7zfvC$S#&p?Ru3#+vtG;eY|9*Orf%5WH%<=5nySfcubvYi?D4QS#y*=EfPe5 zgx2TS4f{?Ecm|vxrEG2>5Ar>f+W}5dWT0T3&xGj^04|}oK2f2#FNB1xt4Fba>BR93 zspJBrr%U)Xgrd^w^*XP^WlW24secW7unphq)M?_cYDBzWFA5c#;&Y!zWx(h`(!J!j zB5gv(uu*BlS;7H#4e0l$K4{p0JxyfYE5uS0nQA4tgeN(pO>n`4mM#o@4x9ENT(kF0 zHY-{!z3lL~IK%c3e~gA796}n%Y(<}`ZOsX~tC~y35WA5YzPwjte!@*04LUabq~M#D zlw#5ninWc)NYK0;G7?vMfe45awtYF9{vI26kl4rvKT3LSLx?XWnDUNRw1;e}%&5Tl z3w9g`C-4qKXs?|x^hrq+bGB{r%$)VPPBX;d$g5b+!2DGUsWUsFC$5NqvDMTo%UAv;$lv#3gC!z)^}@kR#2 zA9MX$*cNBwzt!J(1be^yJye5sbrZ}U)tEvtemDR84``;9r&06$8S2w;%fzau4qXJ?)tOBsgzH%zBzypycLAR~8N|FLu z8=6@oGHw5WIZ%B0_dEA7htC8!q)2yI7#;6)5N5I8`IpUR*o6*i90I!e{|MO0 zY87?Ad~@Ttf{GUdJDL zKj>qMh-WhAQPQDe`~sn0qO%S|_qCI}O9+p(3dDq2oH|Wk3~(rvEC0#nqL$)zgHO#$ zSmA|RmZ%Q5=}GygY|bngpKUxqxM@=%dh})^LWKII&`Pfjve(; z<_5^fYp7!p_1l!soPha8@ko-l;gf8$u=sIL061xFq!KdUeCey|@kt`do8L-Gtl1Y6 zU9zhH=T-(8T8_hMf%O^xkSe|huChg(%A4Xd&>&CbWR~oi01Z-EBx6*BB^r9k zDsXP`Cc#K~Ryl9IyTG*g);()KSrAK-=z*&i4)0m1nUX)y;1ww!>lj|;qgs3hT9)L4 zUBx7f#l$2`udyuEuCdLatNp#}ua*PfocGex7mW~qi3&cl@C$fUtlrI>92ssiRLK6w zjN`Tbs|Im0)^?6^K3M?PjAze$7UieY39Y7Eq#3{{dlTb|N4)YfLj8~Ynk zK6{Y3i8wbVe20Sxpv0kVnz6$km|WF3Gg=at7cc~Su43_Ag`*{V4b1KKHTM#= z4*Xh&eBFXHhb2@)M-;nt;p;Ynu%5zY{sF_?dJ}cH{Z;r1%^3aG%M?{+yj-GU>CPgV zYO07JLW*9D*OIN0x0`NhPrjTz%e`VG7F7x?S&k(UzvD`H>`eO>7YnLcUZz*(7(j(52HgmHi*RM_}~Kqj@nOfzfofN$VeE} zWmN3~JMyMPOyLq%ul+SN2(b@u-JH?{4Ro)mk7@GRE+ZML&e*~i%ZA_7PLv!-Jg5N_ zN21*sOuymE!agnCcFoqWAz}%MAva~lV^Q9B7v#vzAWg0+84gYi(pvhw9%z2R&X*cW z?BJx$PqxxIZbd(KEnY*s_)3VXaeXmFSqV(H>2V4xeNN1~IT1eAu-nVruAWp(BJMad zpm|ip^x2NyC(Eu}acw5`mj-U6u5Q@Ts{dhu%(%Y?)Qo9A6;i1w}>)rmqo``!(;t2 zDHiZmXKI-vnW+6HLKD{f(O4h*A~p4q7eouIh?=kSGrjxCq8n5*RQBV12LD$?nL+5oo*=0piSJ)gmA!_9QFgRz3lG-Y2uX zn~p31odnEW1!Bu%s4@j3n=9pWNxtMoN{OFj8eDw$MzR!$mADZ6S$$0?5;GAmH2i2~ zlVN$owNbThj)##F%NkA2;)F%)k5;LQ=Yxl5#j$88MDb)OsL2YyG8PG$jjZ<-_wS$Q035n0-m!Qo9*@-MBL7 z;C!0}`|!!Z*8QZ3%(j(GV`_sSgTk4Rp&J2=pw)1FWed!%o8Lh>zbT3S%*b|zu&i5s z!99e{`%5fTju--@sK*$5qkGK>Nav=GF>9cUKyG$%;Giz1T)V0?DLQqT+>cTe%}^NG zE_+5KFEU0(B+>$xv3oI()J4c|A>)BcZY0+T%Gba23hc1WE2;ZFaQatJib2*t!pRC0 z-H8Gt_%r=$B8150Ue*a027?xVdZaO&y2zZy%wlCMxLSk?zD^)5i&;^W!|Z}Y;tenO zEe^g)@FsIDninGWT|ejL?@&sP`5faa-xbJL4A$L{16rLNR82(Ev(kDcA}^v2@UIU@ zd?>PJNLBuoXv_O)E($oXZn-I8{|@}k|2KZgNI#q*c&!i@=2K^l7{_cL2)hWj*2n$n z3Wc3v&D^~H)`t^W)=}K*cSDk3@9U-@KFKw4uIiey(G+!I>p_S_rtq$xGO@a54RHvy zk{pTGX5we-53M|_xUauALmq?*Usr9eO5?I zM16I@0$XG_<0^7rDv(>*j0NGB0)uto=md?);M1x@l0K|+59;NIxFj{jwIG_P9a2M_ zkL{{@GOsqoF|{|ydvRQzXDj3Oo$)V)rSyupH6`5Ab!s!c3haUj5FBP&R)%2nmKkAx zL@|^IHgb-DLBjSQNl?-b>cui#|Ma@d7fit^tWqhq76E#^Z1I=WW`(vL+_EvGDBuhG z%-;AC0#oZybNpzg2a@Nc9@Fvj{WL#9(-dB^Tn6 zA30Tu71&L{uhXG9yYz!Ase~THX#7Jj1o2v3M=J>S9p^+CUpn}Ci8}@KXH3I5mN=Nx zK$>ZnuHg+L{Ir8+5h#%aADSw57dbCqZd$_?|2YBMiuKWAYnJQKx`g?~97qSNbKR`~?eav00Y za{&e2gPX0pVI^ZEuN!z9g|w{?e!l36Unev8Aev3lLezYXe9_6{U5@rcC|1(8h-OK` zP{{#V&#$&A&je8nxm|JqwQ+Y?7i;-qA#u!Ay& zj(ozO%c1r}%?ERw#P-zdA9|$71>)io@b1O7Wt~gHk(#zauy)JJSDS^mykm9mp96aB z7XjG3GS7uhdNxfXON3oyOr1m3(DRr*+5-an_&a0+a38bH4=hQeb1FLXOzJ9mwNg9? zK1e!*AZ6k-qD!lb;U&uZj})?gH}-=< zDDyj+bDMS|Zbj~e$06y@oH(Tg=ar!hS!r@exLltCWInhq6_n_Y*aZclbSG)O(rGIx zn!(N(6w*J;{+=%ht)_-W&}B7(P3_Fo$Bm%e(j`D=4&E$YNue*1_DSRO-`&J2FVN-x z5K=!y(sc~JkMgh|*#zosWLE6N>0eh7DM-h|#njRD+9tnB_^e9*4$oJYp<9`<^%-RNN(XY-K5|I~I2d>b(%Y%*nqj3M*j2Q=xC(d_{Y9jPICq!&PtZ zAi+~{Y@2@)B;%r6N;nSP0PvrDZdRO?wmm=zvwpvWrk{RbkD?lQ=y{S+)A?>z+`fV@NBX}U|mH1|_+`zyUyUdvvm-=a?1OE^v z+mT0G{i&lM8(*NRv{GF0ibyjTSA%jt)}~xHe!YL)pbuwjiyvf~{`y<%=!YFo5p97i z9TxT$lAuVQJG25C^G_3qysz+;rA_M|cwrijzGNQ)d|q#jx~y>TIb77I?{mzm-!I(AL$@$ z7w5OSA(7sfEVVzElDSb_UJvz*|J<$R?+P|@J(KO*#rU_2j2aGHRwiD1Zge#i;y z@F8UR_CvSc3)1C{$tXrANGTb8OYN?G@|2Bw*Rk2l%M|A zh#WR7{@bq#+4es^?EK|y9fyPDvxY$YX1@Gqb!OXI{t@y|PMP?hy!=0&{9~x^3fYv0 z1E37$FGE2Ie=ozQYW>Uh#{)pq3RxZr=KC%93K?RdZC)6SK2Owb*L$E3jXA6Y@2n{m z+7TGa1*53@!~32xkk3SS=BD(bB{cGYn8YXXGd>T5iC{@0G< z^Mp7i?(-Gb?@F3@dOO7YHs|v1351QmYIT9u|FWkffp5blJutDW{lBUZ+J9QsQ2+C` z3gbWiZ%`Cs{eOevC=Nj+^slZ!d9O-AujhLv?$f#O!`&fNP2LSdj64W#UU+V}lqFb|B& z-tSBr^m*^EH2|-_1_wg>|5_86{ErAufBT30>(g3~184j#k-mQU9+h(FHBs=#0gpuHD^b?&@gfI6x7v5T*v{;4j{QjtFa!AX% z76qNTvtkil`%NIkL2EQTk-vT#IsSN|BcGpn_W>c_{O&^*m7Tp9|EjS7AI*yRcjv<)X25AT8(n6ZPRrdmMiJd0>b>(oYg$ z)*GlwFQyPJ8xeLHWdA}WzD(|<#xmS*|o>5lrh#Z!6q!V|!2=n0}-2e<|(#H2odt%sK1#E7eS z{VtN2uX;%->0l))uZ++ewcAW6jwW$1d)VKOtaR{3TT+}|SC`k29{1a+%)b3@|B<9` zv%D6XabXwXlbTM&cOfKK?4JuGR^$TnT+RkU55c})cF}?oSBGgL(8k22z^9)r3m-zf zmJ;un1}#IbkYyVi-7uz5eZv_-=)s1faraQ_S~^--zS-B|1<7)cUp=bt=l_(YSk=e9 zj}A|1)F+KhEz)dR1^bNdc7AiO62vi9IMm>Yz=0*$NcS*>Q2hJ6f@dTEVm@a9ISOv zZBzJAp5?>{8Zr6$fdaIpLv@q-ZDv$AU7KL@<8@?44llb9O`Og)(2GE`F_-$ z8|1_J3a|3YEAUnnO(aB=^)hy_Z%Z4mFxAqJTUTlVZv2pgBK~fV$H9uuOsFHI-T_Z7 zXYU~X?ZGlZyRZnxUCK{QZ&W3jI5d*fGM7sC=s6zT!HTeLP{)qUsPLZiYgyk8G-=cT zWl!b-)v2b|&m2f$0l1;CQ4zW&p%WT6L7geRj38=!ip?Y0m890hM!$r^>;?E4Wo0lA z-mAj>#lu0*q+y{|-LbrD0d;0Y?mr~oXi)?Mrs8rmF^FH*ea7J`&s2N+{COUm`52ibw2e#_wO6J~JvkIJa9VP;V1x#P8i<5NipFPeAO zF|vKSgfm)_aA!TYj>91`baqbv4hGvD*fr>$Z@9(3J>FdbPKX?ai2c=&r}26aC$4Zt zv`b?_baI(_5iLBHsI;(?ZVio{VQ4JIH&r_>;Du22)RM}$^{j`=BUFc(p6h$PnED7c z@Rbrn{z@>lO_e!R-j)|_y}l3^{|&}F^L*MUoLz=!T8q9-)tK111;k$EB8uQ!bhA99 zDHU^y#yk6Pn{W8(mku|zjK?UWNu+zGbg!6su~Gz!j)x)q1)Ej ziI}afv?-k#U!|E4-FW|yG&CvgdL_-tpju{9RB7l^ZH2Piv*+ItgTIxo1;MwjC6tVr z`{Ah#$IDQa1&g+KRbT78!6a>_Cx$;AKhGSm6Y{O7U3yML_0PrDOC^$%W>bA1ss|G+ zY@>-#e*fK)?HT~6BwZq|Zb#@mp`NiIL&irhEUGm?s&{PCrpzYitRZi>7^E2Aii~v> zXBwrv%a(1Qc;YJ;5yarItb0N#%0FmJDNSiFiJ;?3f41m91$B6RyBqh*603 zOa2X$M-Q~-r`^@C+gnRACT~u{m0lJ8qw zy9#X!#8y69S`dP4WfvvZm+*3a8c|*x?PQ8kSsC67Tx+255cBNC)MDchJRw~N|cOTBaXJansDKwNL*AC z_^O0kKP5&}Lsml}-F`H4oX%8`=S3r0kIYK?#qn;GK?80@Nurl4En9Y@Gt=s+LLtWn z^_G=x3Y!tt9`rb_Xac*x8ccvo8xJxB$k_XEkC7$+ia+n|Fswfl!NB@{B#Jc4i#cs$ zEJYxZd9P>@I$@1YFRAR8m#XjM;9T%IGvSyFF`V2fc?f@Tb+kD!PaKbTB+07 zRbo=WBqwpza>H`?tjM^AmTX0^p;<{tU3*my@Ej3ZS|hlYXTC(MjP;?7{3Fj^;3dHa zp#q){D@o0XR~hI58G7F-lt`DL>--H(;1~XQNSCs0M5Me>)6GBLpmaJ)+?v?VA%Wq- z4P3-sWk2ie;FN<^;4Xv1fo^VTF|N$>^2^By~MDL3sY8=7$8GO>`i;&Dk3+K3(#no+RbmBL^E2|2aEkBB{Cs315UbbW4 zIBP7PrVQEzCv_d&cK^vOW6j%>)rGUJ+h+|?e{zf<@<&BPYin|9VpaHB20oIN;(ner z9+2GmI#z|9he46o(qexL(?+RdWe z*~C?LHM~D7ULCUlGYfRIzquMU8-Zb$C!AARKg7FtpXs5FG;5K?)rYUq0YBlWQ8qf@ z`)~{D=sZ71c-oPy9DsDlKvL_qNuIcEA8V=T7@`xSjk5`nsIpCtfoSrxx=+}^GhK$DI+z7~9v zH_Hs2*rX5J`sGwLxZm)j%DePc970ikv3QSxf+ZsM_yAeFG?wjZ7s*G;<44%Fe%RDU zN@YJo*Cp-T)i+Gkz;T+xG6hM5ogH#q6*;F^;OtGIjjtKrZX`a7=~$4+;Sfl-?vi=BT0EUUXZCI>Qk>NUXV0M zx5^8EDLTYkt?ypFib!r|;Nxhdf4!Qe$eSQo&`=Ae=%#~qDIZ1N&w7)o#o1v`TECvY z^~IT<#+Rd~4Z<78iCbq~K_U79_hQDi&8CP1gNT z;Wg9CEnl)C={Z4}#!KSeU+nMFC3kBaV(aj=Pv|A_XWmfiO9*rMb%da5|wu9V$+0)U` zyvBf)q{hSvEQ2i)`BLa?Ev@}xQXN>!Q|+pP`QfFspW zuS|_?C9jprh}j>@;a_-uC_{TsL%t!Yb*|SQk{>=Md>Y_wXg)j98_RE7ChpR4Kljg%cba@Oxfx{uSh_cKQ3xzejGS&b(2 zJ91ilMO<#Yw@)udQ};8N=egchSyt&!=(pswIE%P!d2bK@JMo3fQs8BXv#`75BB+sbFEXuQvB9N%C6+uhATmMvlqNSFea)u- z4~XxFSb1Gpk`5NT7gFKANjOo@ediz!8q;kp6()v@GQXe&MXA|u4oO@yWn+zv1bPhQB_?Ct^;(5L>7crqDwC|T z0Toj;4lCdMkZ=LA>IJ7Td;{^HHc>a7e+Cx5Rxjm9nfm=Lt=%~{D$wc|@k7$ZNA%Pg_;!Z5PE=2IGFNKK2KlLSLd{0-G^|fquh4@zAma-VCaWFSb8JM&IpDNzlV5S*@ku<0X}{vlu#df+#rd z;T%Q`sx=Codx{!SN82r#p8!?m-VC~Zjq;KnkYHL1tNTeNL_;V+`vy~!uKt%9rLbCN z5}h1AC+3g18F?zWP< zx>xo>FxE9UPNSn25)WI*k@6WQ57-wadoe~&)9JX*$_cy}IjiSe&XGoOzCI{1!Rd1r zKJ3M>HYEvKj?tEGI(RPlmSVKyf6=7Pm)>J+Wwu%pU34eMg(7GAIE4vB;d_;7;?)>m zkRD^uP-)WvtgtQc5!gz4LL73J?@I3RiG7kK*4guaftUsC9U`+6OJoLlG2s{jG4k-e zwn+Jq_qe1O*9$p#Yk<~xz}5_X^)iWp8KyT=!lfB7?RS+*WaUNQuXt} zO*Jv(`N3XITH#J07Bh@|9w`stXU)e`Hjt#txs8-R7rk0T%42Ll(L_Ql>bx}(5;ykt zp4)$!qJF7(9_yb|Sey@CBBJY7i2{ho3vEE!P}^XC+SLu2*NM2R<=2&WOQ&@Umy|>%F?!qhr~o&O%VPwFu9=GY;63l{q~)I`|_!UGehJ6-rr8%0U=b*wm(*xP9~c;BB{@#joS)Syx`uXQT6ooROux*x7=Tb_kuHO})1%V%p1J!bvLpliIh z0UCx%lFWp`9ch=1s8!PFOq-mF1`?_9pHeYYkpv~=kMUDJ3XBZpB6jZ#i(O5l~96HQmHG*j!tMZ}xUnK(~hMX^A=uvr8&;pa7&I5LaSUHkkCvQ%~C6~4|l zW2P^pAZmH*ct1pfX{&lF)CBsl>_1*(>T;(n!(EqM$B;D#a7xQvIB0r;^_r zQ<2kuGMTFJHVPPpLLE$JJfqovpxw&bX%VIzm@&g!f>Q8CLQblN^DdK>Wz|-BCGb9; zDkUbnOC+X#W`x}lmNn+jZ9Fe#=#=J#_k~q>sS{QEO+5JDqO4R`xp%fMKJ3|CFeU4? zM{jCXQ@1pfl0m$Sh2^C-pwF+I;pb>xwk>1~7FtT5sKM=nc&~zm8R8ECZuLb*3-<9 z&M!^I_WYc&T*MjK<)J;^H`63zP}P3-`ViS||&BXbZ*P+tPwxDBsHa)L*m{0*I$?ucceex&?jGFT?ef0gIrpw}*1ErDW>@X1YMJi6ySttz zw=X_aRPIJdp#2V2-JMwyk)Srk69ZJiSXN@0Cn()QDWw{_BbYy?Lmv#=;%{CNZKEH?XuHr-N3G7 zxfinTq})4{o06ODq$|DBl!U~DoB+`^SzJhTIq^U7nP}8IUT0S__ zW0OY8-r{$w^LSHVXMBx#c)Gt19#Gkne1_SzgndIXlaC_gHA45X}<0 zc{QC55BL~7>bzfJ+n)1R)-c!3{13eEB^KH#p4wBLc|EX~Me;SERefEEcU!${Zm76S(?x5f+MG5y^ zg)l3OT!x(EG`wM@{C7a36@#3kdc_3*Jdm|NF0cPr-#G)a@zeAmZ{kmaK*w3>Gw*8R z|7Yw&xXUg+su!Hz4#(#4`4P2kH1^}4{q-&Q45wlBf8YP1az4EM-$|nXIKIt6Lh8z| z`~5?OXM@hN>lm_I6_VbL@g$#aeh`t_A+e!YO!o-AHq#ma{0QWK40Xv(`u_h0@;|~y zcJXVy;JtRZ@&7B3|9biF{U0jba>J8id2tjjo@>hYc0=H{^ZSp0gNxhY@_f{Eo7|*p z2IP>P{-cqyE>YWJhW}qT|4Ze-gNf*A|39-_wa`%)I??0&&gAh&QzH|f3dxztrJpUt!`>(_Qt30ux|ErB##J~R>IK5FNq zL%dMYpHJSWSjqf%?jwE={3z|m<&F=v49M31UA_NrDE}ku*9#7BheQ8xcxHS>;F>}7 z5l-gIfBNNr-2C_c4;BB6u&)yKH$@$qJ6+c7;R0^uIZUxk9Wm1;gIHF)*Bq+eAT zya(x;9kz^2JADJ~cO2%stj|t9vQ^UWu(MVVH6lGBI{$il3tKfU4LB4JeLDF;d(a{~ z>68Xpki7^n!8p5iUlCe3Ee^ytvnDV+Y7Z4tG5!qA#dw41<2O`-wap7%OKZ)H2qRsj zY13V(Jcw{}2~|;>45f$sk~qqkBD;FDt|1fHXO{*CZqkR)=B4=ew=*MSwU*&P*_G1* zHgjd2!IdQx>CIKA1p{Z=9&}LUs)w+h3)65@s9!>p)~G_JT$UzPLl(DDRcO$m&Pkxf zxuc+WdMmHWZ1U)GMgc!@f0J;^+wI*L^*KC|;F(t0jB_t@e1UB$MEwJ)2VHre>>iXqFSo2gbC{a?=SLL64S}VQl7V5=$cbMDl;aAWFX&Pu?bk65 zj%yNq+#6%mRopS4NwlkDJetQD#&oU_=AfP&39PL`8Hi@`(|0>6`6Oxk5=iFXMUHs;~ z2aIqcs)nC=a9QXmymoF|EAcW{-M?>1aiJ4I$9K)u${M>`o%d>o{B-V!5wL`d?FE}IY9)DxPdAc52kr%nwvfUnpBplWdI zp~R(2O*21?FvOT56^LQM8;r?E>7A1aKCql#=LOOFdt$M>Qq-+8C&3-Y;4CF&>W4~+ zlz%n3!>&=mufbHS3m6O^fN9r!qXe_4wz4dbH&p-*Nn$$fsAYa6roY%lu_*9?4x@>w zS|o4eM*j+dh9bD5){8-MkD+77=VL49v5wC<*!JDr|NedpOFtYp)i^omlLq60s#`E= z(x(c_4_+oMJ9W!ld|R6JVbq7V;%ZogD}TB8YIcFOTC%AO&Il$a!>8hrwE360kymX< zxKg_9On|V^#dVkevf@O?6K|;PBvc47`-CpHCUAFvd5?%*Q7LcK2Qo$@tNR}M(ZymW z&(w&5En+Sgb-jljWW$@bRf@Wf0qQ}s!$Qt9!O!&)`t-lV+D8tW=M_jp1M@W&RE3zQ z?jsfW!pnJ?;#IBIcjym`HG>d-XtgHds2Ai^)|7Uk4|fWxl$w7mkT)(O?l=5F$M!0` zXDW}AGMD(0KmUPXnnm}Y(7v~c)&0V(8g3KeJ^>;ob5#dNMwrr+ei!B}BEz2IEEa*a zmXz|IR>=KybZwo6cGdz{V7R&hU#?37_p43Kg|UDc%ZZ#27*Q2WdDR634~kTQOFwf` zb9`$D-oPcM+efD75aAF7ITvfA6aED=XF$vFqlu470l7vn@P zTUjZ-`=VOp;s2eG{f#$ES5Pc^U=#e~CAJj!LH2y3jzYrKkckbJ&60*_f2Veu(Gx|w zge}y_Ro7OSv^=3Xknr(^Nh<8@FC+8s$x*5jUt)34b>mEFQezhQi)FIhbzp* zsq6I9QtcR+HBw*Nrh1EUlvGf;2E_>Mq&VQiThOAFl!X9NJr?nj0u}R$|L*poychV*w zFeuRn_K?!HhMcu8zoXkLwieE3|3jD(U4d1I%pwXZL9`LvDIlZ83|u5d!Wm>m+}X&S zI%fV@8at<;&8H=vR>7h}qKC6Ds*1G5r&orLpyzoB3Qx7#?nv`N#8Jn}l&@TpcfD3E zifge-{B$2rWf(yZ!l)8~W!FRDDdL?(QcogY`ptqA8bM9R*2PF^%=a%hBr1h>|8%DC2hQ z0G@%OJVrrhy|uxaRGO(T|vnbSG*w&+LOLt_JE^~DzyF{AjQT7UA(&`C&{d~JNw8jbinY7l|R6or=QM( zKx!5kw4DBjYisSR9tYpoy-Vb&q*CQI z!3cCaCxUl*7>lqoC>~Rk3MSRQIKdMMfNQgVM}Oq_T^KHX#5WPpN}&9}9<_pah1lFU zqBrd~)D?Kjf86*2DB4iv=mj}r>F6*}r2Ghzb7f*x_(;KQY1y_TpUeX(9VHQv-aRdz zw_#cL1p|wq8KF^l7!iO0fgMQVlMudscf>@Oe+;bZNa2udhB%bFu-v&n>4;F+uTRXU zm=wH}B7i-PjB(R`yXCqUgvko$xtdHUqTR1>LsFli;?@qM26wQC5U(8(k5DHo3?1QM zcM$e8T05Z)mq-c*<@w0Uky^mb3U&p$2ecz@vl^OTUCFYr*XRsgOH3fPyietUkk#xv z$~Lw!wucYy?NlL{o*^!%oDO^_9IjVq&l?TRvA`!78+eJJZlxe76B}qO9Z%qFjEwI` zdi?NDz~RldCI1XA))ruf-GO~`8Je>F)eq)1V7o?R4ut-StE6QNC5HVani_!Z=fDa1 zO9(&x&nQKVW7&f^@Wp@m1Gf@pXa_gY*S7>TuQu!c48Yz#hD{n+e}y&6QfKK!YShGA z;1b^;M^3~>L@aI1@jafUKZi2c3YAn&9fPLe_Sa19t%1Up)iR*turqs=x(7c2bgTY2 zLlFlr9rF>JwqOv5v@R&%Qks6paYSZg)!pM8T z8#RJKfrlg> z)Y!HR|4K;mDEMm#wS$%`-kx@Z7z|DkD6pJLjzY|my?7rhswqQhDj61*g)gO1&@GlYYCYo7SaZZsB;UV;h7&)({ zFnkRh#15Bg9L1*Z*+%?C7y4p|FmTx89D;n|+569wgAmFico>0>fv{uz>=4-C>D5MR z$pKIl_OIe!ocI~o+6u+WmjRWKfoi`tT5Ku z5v1>uMvh33$&(R+8u;9di*zhxIzcPK53}B^vT@UjfU!IBQ`GDRCqbP=9GEt&8whOLSsZ)V9o!eMqlPBp~ zIcXx~r5wD0st)}GW!GXmzRNsv%x4%$hxj!8Nm2-RhI+ZdgzXb-w*Su_u3@-I&A2o7 z*2j5oTusHJzI418zf%@M1ib`kq_BS^^}XL?hAXJu$EGDegT1bK0-a+9?!b(e!cf*f z=EaD)RMgsY5?}&=y4^`3ktlvJ>@i(ZbkEZf73&GNAP|V;dwzMhsR(vVCa*Q4JvqKG z6<=GnpVn=6e+92a+zbCI_z4swkWx>f(PB$;BPXbSKh?!Z(FsI zD9QN^;p{BUtAMputwaxMyCbxf1Y9@mBJFmG_?Te)k{Q)jQOyfpfpluJ@nY ztQ?U~p}tpB$Q(@+e<;3IcE3VD)d|;gBlysXfnjio+Xe3rlqp=pFB2`jMPjw`7aje}%iv#!&>h$VbS^ zDN5N(Pd9es91J%)q;0>W^DFd`q%7~%49{fTT3c?o=vT8F_-b(o$TZ&uH(%H3U$7X= zqY7MS+#WgF<}9H8>R>;Hr#`Uj#EnKJE|EMOx)!a@5FfndI%v^{E#ut31(xjFb7-Jl- zpyX9daQ1#02A6;88wF=|PaXn-LnAkmHk6?<{k|uw^t~T>jiuuXPLej-=CUjj{Uv}P zG&O+1NP7L=<`>L#X%9F}}Jjo@`G|5aT=_>}+Jxhy|1lKt z!wjWmYzYlqviNiprpx6z2CU!DgD@g1V4KfWl_4D`wI1f718+qAUC+l}C-D+-8SwE~ zXx1ly@-qWc-{JK}@&qL^B#}%c#FBRa5;e~$)I(PLicj1H7ctaMpGX?e_<0IJQ28r{ zlC(5?2#Q(<6fkn|iLOMJjstm%uXQ6uJjYre>bHK%`#hw8AVeDpvq6|T(7Bc#H`_;* zp_>!)m;gexn{^nR%{@k*6|; z0Me^Lg0^vmR(M|EjNovB5IJIiYkkz_^zp_GcuwabEr216aMs20yRv0_F4$3^;|x?P z(ov)V`3hE+@PbV(%O*JgSZ@_5DR<67#HBIKB8>Qpl+A*pe-GT0&C8mD74*FzDxbuu zmDUyCVr!`V&QaEKadKMWBUBEA(UzcJwW^2sSVw?zwf;L=g=7Eel~}3+>v!kC@Z(ho z*A*(=8=LayT#@RjJR;<)5PZvh^1xetabb&erCG5r#eE6#MFC+qR3CX3aL!*-I67cepdzWDaFmcUC z-W1`Pay)269p;FysNk<#iI(>;Tza@lCh!R33A6injVXVx?4YOL5>V85o~V)j84=HN zsXoKwJ#y-#BArW7l~P|XQYAsX=(u4VGtp!9!Q0&br4JrrX9~Ky8&-mDXnZjM^ETaX ziANHWWg!IxZc(8M>VeVaYX0@UmE-vskssB z$V`POzpLk`Hf=*qBiqOlOG;2RlN1wpq<7Zvn$BS1D!&zAbr>h{0&;(TANXK9PJZf? zaQogL%ha$DY@f2d-+aSr0pdq=fZ}&>y&$49VdA8nk2`z((Oa_awF1ZO3kM9tj^enE zWeiop%Z{6>%}EhYSuOJp_>$KzyuWH65u4s{iB|T3rJ(Rj0=kT^7ZQBhMyZ@TdmqLf zS{ZW}v>bdyM!ggRYtv4EcOCUNW9&;Mdzk4O*Z3Av!IJBDiJL4r&s3!s+enT3?nAX#uGF@lDJ5U%&Ii~|&G^^*kvDfPt) zREiMqA4)ivlTn~vGZ0c;ntz7PF<|U#zq;{G>FJXg>_b->90+6T5#jn5QLwx%r{`(tle5W-e9R^VZ72-E&@Jl-z_Z$#?}}i zUb{hAIW1vW3Z<;}WBoIVnaDRF;|Cl|!3NJ`AvKq}t8yETTRnx&yJ~(Z2jzj&n)CzQ z^zG;~%ihNaMRtz!VLEZS#!SY^_MKciY%1Z(`w zDF||=7~A3Q?w*AB2lo(gouE9x;zgJv6g28j|hlJMT-<1)2{2DK2_ zFkWI=fwJ{wQYpVWkH-fAKdK-qN=^P;Gy=m)Xy zEPMynX`a!hl3361nTiR!n^ClE@q_!`|ri{lfE?tNC>p+g!tzrHvY{aVHXr$V%RZR{q($EwQgu1=FRVb2-OLj4T zv`d85e41K;ZRXbL+M!7<*`n8+ykZ@86Kvw2x|04)j1#eySTZ{zq@48&3O6dNe`h0W z{kfpW^G5Ci@CmXnj2UwmLYXcD_y(!IZtKh{D3AFO`8ZW1GFC9j zN(&B#%27%Qfg()&!tvspBjplEoUS+qc1eH2*0iPw6rQMKlZXTTDfA&)OpUjYKhUfX zlhrcCbEW6Iy}qAiXJtKnD>&FIoqC$BiJ(t1kF4)YJ7vx9>{i+ZHx%4$tdvp^fp;R< zw6p-O)H}2N^h~s^ZbHe`vR!KX3NF0M2j=607^HDGtz!C@SCLYo36ZW}y|boFq;8O} zGb5F5@<(0MS7vpz_IR=k2CT>H%B;a%2k!^Pz}ME&Cuklm3pIn>&ax-N;O^2V&6AjN%;d?K@^?h-@;9Q7 z4y`-qaZq%`;;Md2CRp|q&}DPlFIHdcex4_w>$7h0R&@02{UzM^W(jLFYi45p^F6v_ zNYiE?#X;#&#j52NN)osgwV>4Z@+OcfN^lUE7=q(gUe4|{T9G2OHT--|A0T+y$qTT78GaF zW+hqRBpf0F=4MH7#qdPPFInONkqwH@t+hQYvA7PKN}R_LhQm~jcd+M;2aPOK(<7kM zhSIshYgq7%qj!A6H}$Vq&t=T`%&F2XH{ji3+<5up`eE&s>Yec`!e(KG1>I_n7M*Kw zm!K2qZ46up#UVgdADVp@xB*0TW>sHUMvNIu?D(HYB!Hz~P*}+5e-#p-nSO~rfN%LQ z;%X?9BLKD(Z5BC|BxcHpp|OoWx|MwM2Y8bf`G(7BxiZFJM!;TtNIJ>JT?NIBMrH&= zhd)f$Tyei>jz=EshKU5}eciBEJe;D7puMt9$w*OMLPHy-cs3q#QuS(=wve z^up*0BTkt>Rl$cayH9HZ$|%JQ0cfiI#lm6PN6f~=ZN3l@XIw1CE?8Zng_8Y95iJ3g z@>DSNEiSpl#3LwDVak#Xi&TtN>%VcskRTziQJxgM16vsf*Wt5&<1D-b@GO3c_Lrt6 z#Mvs!wW49A=GYd9DJ|ub#wTh{4D%GA&;@@bob>!_%aO`9thI_T4nKv8=<4@eMbBV8 z{3wQ{*L6^zZ!3nf+m{zRF!GR^_J!Ah+naOIEhO^~tytjdB9+K*ORw+iFt7cf5rYE< zWD>}GLZj2j@KlIO295P)SuE^oVWS`P``?PR;O;uB%d;Oz_2)_Fp{r2v{P*NNk+La5 zG#2ZqllfeXJzn>Q6Rh)@rc!^~G$1w^@K7xK(J zmJp&AN18Nx+O(iFTknQupt_4;z{c_%fpVW09UOB-0`a3eqw7zEw8vH7otg%e!L*|r zXb?0Xc$GX%P7R||fc!CMVBImgz~9 zGE+~=`Khe=o;#gHQ|n80u1`%12ae8dE~uSN3A5o$AyKo*vfQd?nH?VvL_8jVUm0Mp z2abR_LPF8fF9McpE~v15*9I$>=3LVPtXd!?1Uh``T;4J2t08bh za8?apBLP_dT*978ddUK6bL2AN=iy}`S;^7>{44zw5nxdQ$_N90C+TWLb=l^eNJ?eW zlatt*wZABvWE|KHIS}z$50!o}Qb2{SwO;fqdh3AZ%6_c^-iEQWPCO;wTsx@>)ECpj ze0S15_MEX(-Jry&Z%0eTjVHx>A0eCwK+~IJ2_{oC{fEXEZ#afQ6*=rb~PXnB4;+aP4|?W>hjF; zYB3qS`*8nf(cHDYo^a`0!2kPN_BJO>r&TjqGArPvHRRFf&WA+kb4H1z05Q)z88RxB zd--IV3W^|nEH8CKuz)CqX=57^WAUZ&3WN;lIzBXv7RafES(js%Nk{;9HaN2qnCWmY z^l>t<{=R!7B-Xly=`DvW$(Q;qA$xK**OoyZiG)2{7^+=dKUJr6VRY(V9Mg3MBUNv) zGtfI3FI?gS_7C|E0lA0U~-lmRIsZS9au+FQ^HHlu1Z4DgwEygyk!*6FH6c8?gkJZs|ohVuN9w+ zlLD7jZN<>>62}4N8y?OBYce+Q^}40hO=WoyjX(29JHq1uwcUN7-S8Wh0n;H65n8G; z-g87u?Oq|ELx{v6akd6$EGE!eA-;zWJTb&Tdrpao>U{>*L+WIUH?lW7cNzc(eXC21fkQ_lNI>MSZwCvBo?;Fu+ygDGNBp-hSz!Fy*lL&)rF zZ9jUebao8nE4A_L^ALVH@Vzpj7WFAM;;X4e>^}B=cnmHrM(j!z$bwKbo+R{4X0w< zWe8t?s_6i!wP-l#v(?q$h_;g0Fy07V38Y^e@SL+^akVY@g4_Z)ih{3Xv3HpYn;ZFA ze|J*WG^^HVK7ZSbJZ9a;I}v)~Jx%X;FHzGBdJ!P;FuaNa~Hkea6P(BI$*wm6X6ws0|BWQL*CWmH=)yCH+NXlydpo5=Ue+5j8>2 zilQP`qLbE{#qJQSw_@|XU1H@;lXme>_XZRWDea+t-;jxdo=8f{vug{-o&%!K0`2b@ zgIWWsv5NN9KW00g$oe8}=VnMrq+K_Q+=Fv43xM2SQX>?l0;dF&|6Wx}S7hKDloQ}< zgal{X>8Ws3V-#%${iN-Tc&dfH&OU2~l%?z<)giLl;rx;-le7W(M>D)C@RJ=fg`Ud# zQRc?Z=G~l%fj#S*pVeJrfcp$y?G9<(1>*4x-*I?8v1~)N?WTNXN^Vb9520{_hU-kU zghh^msL|RvmY2RLrq_p|(ExWaZkAncc=K!BnzLB5Z0uw-SH?eaXfIYaU0|{2lbY2p zBO$lXNjad}HeMq2nO2M^HGE_inzYTC8@1KBn%OCCdVPs%vnj;ByrH4jskfN!$XDhU zkPCTcwT;{bv((+>EG4geB!i!AB(K~CB*sSPxq?v6gruhn_F#fsOME-1hJXT>wRt86n z2XdV^-H>4~U|yo)&iy8cQlJ^O?t*1xTN zPAByf==yke)K2^QI(B-a3u!r#o?&2`yon>Zchd|h^K*tjTZEJAdv2H3Ty&^uw?C6=iKqxJdo zR7nfoEU<$ALfL&4Qn=wu+CXm!QAZeRB zhiK=Gax|BFV1ns-km;(}n9J`6&90=kz<96W6@MPzbI$OpljuV3Fw#+r6xbm*-=@Up z*N@m9q%a8L*dH4dW>g7C>@@dl7VMIQpK{_!EVLd@c_EhZQQ8N;5^u^rOJ(5zUdRFAmF$N<&w(szAd?489qym!xKUkacf>r3RSsZeBI9cV{XBBt1#8> zyle13rz*Dis+lY;qV&8a>??Bq*frKd_UEJ`60WWHFZAq=yJO|yY23##cyL*A#tb<% zm8YY#>A3ak%ye1d_#eqI9Gm8m6Dx0|FZP4a=|B_7{U&lpSTv7uSbeL=DUWc8>MCVkD{q;+ml_O`A`^YACA;z!5X+k0 zmP+nKHdhHqRN?NFmjRI-#!Q&dsy&j4lbp9OIp(M`&CUC2BCW#?x zN0i{-1s}~#DGsKX;Kmb}M9rFyg#yzM9|yVm+~3F3CmT!d_E!DVy6%t0(x(j}8p^F5 z2_s0N5-V(SjtGm?+I%*wL$urpUmd)mtXMapL^@GJ%WF2f5Y>?yw1A^by7Z2kD6QA^ z?){cTHJcGD9iDM~3G2%?Ye!>h;m{i!|CLRoM?`%?4RQ`mv3etX!@;F;HMUv&(#}C` z^FFE0=f0kw_h(dlt=X$$q+XOfbnh2&#?zMF9C!-dsD$A!5l+~PZHffG-#XC8G>zWi z({z7|e>|3q;m)x6U+`A31*Cd0mb%wWhSpMu+e=&U?CAbS6Vlzv378hWyZ1SR0>$1@ zw*xE{!;%pdr`s3qsohOIiU7JVd%JMBK56(sTPTuU6pzyeAZm%kkmaBaFaK`xrlmL= zy!{&V{*u(08pj?vWGRMFZ7;Wp4A=IvFKU8+5Q_PjuKuP(@-Q01qrAi=iWsoMR zPKXE=0F3W-j$cTu=@sXz_%gCpyZQUE&9E zYaJ>S0n`m^UN_?Ld}5c;l$TbciMZF3t{(_r!VP;#K?2}on!MM{kM-^<3tE)ud}lHPJP2GURz7 z3TamN3b*Iaai5=y_*3SgIs^sGz7~!RA-Q%xHBvK-lQa)#T}$PzXr7CPOz`g@Q6k^<7SF!qj)1X0|KAdZ%H?q~CFHw7vhH3qV%$=bt{jH~#y4)q?dL z#w@oA$egTiCqTFWKheLLU&)=;5hTB&Wq@T$o-JLB7T+lE`oUQa><=ipE7+pS4XTJV zu?ro#p_4l5H@QZ|Uh|}S5$R6MzFYFzLyzldTJqX&iw8DxbchbkalAGZt8okO8&UMw zq%uXQ-^b7O?)J=6xmPE_4r?-B9;2BJv!9uPI4o1pPM=RA zLFP#!Mm=UHe@F9$taQ7UDq6hdscu9S({mbcYx0R| z^NSK*?=yHJaHc0uoMi3G5n5x&-}C~myeiG3MBDK9LD`+{F|>R)hYjvG(iW%Cj!}8GPqT6UQQAm^pJ8sL>*B)EtKgZS-q)>4%XYR~x{lqg|gQ_fc8OGgIjQ z5OVdhH7EK`!C>J%A|lz4M0>IwR^_8*Cgo9<9PS8xH;_mtYFHz-BB#eXz=)qJXgF`xNep#e)^Bl$|JLam`Lu9Aj2Pg6o0GNyw^+G3 zm@wI$0dXf4=o$Tw?)W>-LZiJMtACj`>@5biCYrfryW(ojePlr*{^zao(*NexZ<*Jr z+S!{XNRA!E%x}6-E?j-&Q4VC7FvVgQmf?l?PC>u{R^|$sqOpVH`e*6`CNmEi*|AVI zB;r&}vvS?Am|$NB&dO~L95A_Ydrtq(yFQ%%2{@THa`vaF_t)2<$~U2do~{CF~qEqGXR_Y>qlmsPF4Oq)nGu7 zDjSmd!1*8DMoLer*UPO!L>vuw8@d7D17#Zo!eD2h=uyS2R`xr9dFyy>(v zD=6?s6KkgS>pxa~E*Snibg+GyMxc6pGJ+eTc5(=vZ~WE4kX)F@F)quqZxH=biZ`rL zri;nV%#mJi@3LlwbZnav`(CLVOrPEXll~099H(4X;IZa%{PuWK4wv+xS|48XB(j++ zr$5N?EI8PMFWhK^0%9@KqOz9GsbbOq zXkW^5({rRrnZ-L5!y^xs7UIY!Ge&G`zpEUw7D<+f;YfVJcp_tsUYJQ&)%pZH=sgZW zRiR>_l9Krp(%3OlvLXIc#7dFJ8tcwA8eH(LfO5J1&9n7X{YYON&Icbj` zibP;NH1)tQ4Gn>90#wz()9k z-IZl)oZaqq@8fY}7sQr2m)^@DnqAtW>}ynN64Sm*U`GwXlz<~#@%_ktKmPU8w+s95 zTVAcf9WD@BXQML`Up8BaAb~5BSJ1S)nv~#Rv^OVpS#wWM5e&4z8I0gTo^&E43@ z^gzZM*qy2AD49Eu9J5q{c*a53EzwB4B1`9|8vccalPvhAGr-X>9Zo)ET)5m|OY&zX z0zlciwv5Qk!SlexuPcnk;=_KuDTK0(%e;KI3;P>>95Yql61zI43xRunB*CAqXVmg3oC>lz4CjnuJ1t3wvI$w2Vv6w?=G))8e@~q z!=F1ZR*190FRe<)Lb`$4G+KN}MRxci;Xk{xm4|Oel8FEE{JKO7mh!G=jJj);n7KEc|ZQ zUC{w?j<^cH12JvXHyb18*gHB>jwF<4m~D*|VyEtxJIZ?7fhO5CMkw1!^Ah(s3Bp0h zo_R4UqZ92X?FbeZ{(xKfIrPTs_OBR{=uZ2%dFVFR=yxW$aUD2dbZTgbqzpqveRUlQ zK#-_Np0~ruV~2E_PI>n@h(6-bhS0>iS^k+F^jF9sLa+n=WpsAm4ITZl0$kvJt&Q_gplD zeW6IDhs6H3tV|k@1fAFEClp`4L2Ss0kuU(Fy0vt%Xdv85^7(okHvE#-VZhtX!XcKy zhX%r*6GP1;_SLKuZv_KPj~UfC4q`7BSF0qMwk4wykHA7JG2;1r)-I+*Ui00x1M)ro zDQxoOcRBoTrZwz07oo9=_^0rza8WnaYz;CxotNUmfxE6l#+_naS5ogx_k?L^oxHXiu}sUL9_@FtT@gn{zt`QDx`D#r%BVjBnF)F5DMC;r>h7P%9|I zRWgtF_T?(CN3%9sK&2L-l(K!(hoX)eV)=bD6D8NomVP!gXvD8#1L7WOSLl$mNzB_2MaVJPUi8CLW4D;$MFS6qJ;0?Ajk z5eNO{hF_})Ij_zjjn3HB!WHA%a5wsCSKtL$|E$!!4(71*J(YE|bj^4yl5s>#9W$3; z-(mNL3yr3$#-EGE)i%3WS#*z-Y=C`5@oVfbSAVPj`ZPCN&c2{rw*-cTTb<5)Ix4Zn zwPfVT^OxAXySuJ%`2`W5AEPdycKY^d^8+tiks_RM`=$cFj(}#girkisU|ho)-p92K zx|jCguPD`pr1?UpbhA3ABcj~J>=MNO7d5@Mto6oE_+mJ#*D|t5?X(dk56RR?P?m5! z&Mn3Q1pjff=fT(=TpY{u{o&5i&p2^Ws(}o0*_M<>CD4f;z9ZeGzu8R{g>x$?oI>U? z7)OnT@DrUbyzY~6zHHZ&6}t}!LaO*CSK%T0IJYPC?}0k#q2E$ew6& zBe?tFF%%5UN01Q+5wZJ>9yz*XNm%2fThlm9{a)@xPY&t7hM(Pk2?tDxb>#~idqIX= z_!~0MK9FjJFvJ;@dOl0%#quqE&W_d;iK60U;8&9vG$Rn_vRm>GQ*fpwA%bUhhog0K zAm$jkkVOKp=sRR8+UhIc6Mjx#m2;bcrW7jp(-mgt0Jl@t1{0y|Kqh>uUdbXi)k5> zj>-t*7huP8jZu*(-ln83!LQ0CR@V(%D_A9+rVy+k{U+vUZ|hwd>o0ydcK~%s81|V~ zH6<_6U=m4#|LBJ<`n6^5g;)y=T8uXKcjTbK0%1u+`+Rh;=v&(d80iM($Biy@kQO4bEUz8gO8%K=E+eUVS=hT#rp-M7lIiS9Cpi@l%ep(ha<=}Ym_ zn_8iRlj}bYPaNAitjy*uUr(_^w_ih?^?r^A03R1>4$zMEMavr4>^S4xm!S5X=)xUj zY}>?s!$JXjBD9h+Eet1)c=V6*d(%kM^EVf#Q_CzY!=`7VY*gb7XT2lkO^2ruxnq0t zzU#X-F`0-zA#J_(WTSpTV=WbTI6m_|@_PLGouvD%GY_(DW+des!+z1(>N>7uCgx_0 z-i}()*kzkwa-darP_sH-MbO>P!wR8x9G$+(67hbI=AFLJ4Ic37NIfhOLJ z;4qMomcGNmtv)xN*PQ=QI|4mTj}n`Fh>mMG%q0Y3~;?wJVn}`b*g=qra|vK^gwd zjPt`9gS;TY!&u~Nq|pL10JBDh`RwH6K@&QWHMaCx^oxyOl#-vr(lErx_KEUr@?7ugmn? z0Ua*V{1#Gp+eisq`Nb%bzsQ3plXXc@#H&VRy%IW!9larg(bx*FlHhCVF`jWTRa^3m zr;(SA1|Nsd8^1BLCl1Y%Z8Q5+v{(IdK9SIta1S)eSA2Qt>911B;{2^>X1O4hO}W?=b;*DDTB4zXaXj4jqEGvBjO2 zA~B-?xi(IopTZfD1cHvS$+DCY^_~ki2;(Nr?KWR@>-VyPfA}@LHAdyMycd^aiK7Q; z+VQh4IoU%)_TRx#n2%OOe@Gs0vj&iF2P{#)`2bK1?3|zR-NZ|Sw;CvsUiGJg|JE#Y z?zh|9gRp-`f9DX^swpql7sgP*e4hORDkb+4a?7=0Z_3?iGj{xwaocB03QynnlBZmB zAjm^EF_DS88Q(hN^I^#}#>vr8a%BgoCO)+y&>!MD-j-%6AYq?@N4!QBGHwj)446EO zC>c#)XW@Y%FGFbIiw`(d24E_*(7|y@#4L7Nz{R#t#`4s#9$04)b18X;gdiU@V1#f` z;|%BQuYBpcj;|Pmd=>W&h~K_bsx5^?7)*I21fM0ovRkj}r)5EjN`GjW_3O7J-&sYa>Hf(S*vw2GcL zCOuMP7Ex^>lL)rwDNG8sm%-JmLcJMEaW2wN=uBwGpb}VFGA|IeK+zU4{1GFus-(;r z#XzRF336nHkY^DnIFtR0lvsFzZpw8l2meJ6S@mjD#t*-ri{6=I+g3-tlx=$Ucw4F= zl0Tt7!8dl?O;8T&P(I&IpVoX1U?(w_V`jHyZWV{(H?;D-^fbyV*QSO3c@25}?+8ic z&oWlb;E{k}&aR*JJGA_N2^BWBzYe+A7)bSOsO#o#gyY;_E$QmKenUep7(wpe7^;=L zXZ`BU89VPoV!L-S=H1wOje5%LOdZeDw8*8VN{i6E1dYvPs2!MrTFP6fDH>C(0HHg8 z4a0vW5GdbHS1Jr~nP!*AbM`fS2Xy-C1@V;@#yy!Jv|R@cjKGo4e?-!S=OZ010_r7R z@y3%#v!u9zz|n4pwG6_8Mi>l+@@puh-gBB&CI3Qnghy%B4prkPNy7=b&M+Tu));Ed z5Lw8qZ6PoOzJ>Xmyud~@NXI3*FptC7X0`xqpupS^ew#@|=sVD-%Q*64R#SI-S$5ES z9-vyKjY0-^*|~Q}uC;(ANBR{@`Ewm`orHl{gMAzU3b=1Tm zI11Bv{mTlSUk`LL%8I=PgeOE~pl~BXiI%(BGmIcJjN`%jAs(|;4sKwZgD;I7jixar zI4THt+tx>3-cd00=Y-(uv1Me5oRvpby4^fZ;p8MxtgRU-)+}}U$b}N^tTw`Q-3o|B zQ;M}3wT{KuMMj!Npm}&hf6yCDwabbhiF;_26CVq7%TR);xhb)>J+hiGh~;tk#`AT& zZFG7cMF*?W&5Lg(0@TBl*x=kq+Hc{L#S1j0A~ZSI=F`naxNbCImDc0BO3qEa5E-hV zfA-Qfq?Qz+9I7lhw3s`x()f6vdE{yd7*|3;d%Cuf8ucAYv)Nh@6vchw=-g23wvCwP zq^qBI%0M%47FvB{pFwNa_SZdF5c~_z-?Gmqwcf^!7GOmpE#RF+QtGm^AQ(1x2~4@S ze;E9d4`V+bta-U0xD}qaAlM-6qz7u{o&*#BNS_+{mLS_wh%w6^REUuj5I3z#@*~|7 zRky3!-KJ&U{;YYWdR?odY1R6{SMMyxp>qAkuF0pn+MTs_UdqV%Cy9Q7owbK#0pF^( z7p_%PH18lN$P1o{{A5rc9X}u`J%2ISxnpKd|At>DRdm@Ra*2XdF@QdCCtRN$+hqUf)>SJt>_y>wWUjwjd zsvnURkkL#^GhKRy(L1BWh#puq3Kw}9>N`iMvJvqtc3*6wYS+Gt`BH%BKaR>T$7FG? z593%vV#811w5YNdD19k7Zdy9Mv~Rr%B!zLy8njCe2PZrw%T`bYYlj9=%@TPG% zhmYLEJ4&CHY$HbuxlRvAc*{Y4c3Qo=&XjVgmI;Vw?%G=PP#f{ruz)W>$w2}2pn5A4 z3?JpHeoeKUTN%{BD4I>kh!$|6^*TSKuD_#0?0faegGPGW*9rAc4yW6$R^umpu+uX% zZHz7cv->F{@K?zL%6ckig89k}qZQ88xpWp8;e)h<*RIW?RA}J1#CSL_K*oF{)0fiW ztS8sJRN)mL{8M2^VrDU;sYiOU?YmTmkqpo))s}zAN4{> zHh^o6YA6=9x4D7PlLd!A^*3X}=&ankwHSG-1|$b%XY$aqcZCtYXJ_W)Eh76{X8BW{ zU8f|OHsak{t5v17^Zt5PAt9^Qi;j9{91fLl&C(BP-L_xHV#Cwq;Jo06!5mZV)oT>B z_gFH}O$HG+(BMR}PG|0pvpAr_#yMX6G701~ofYC#G{34QCnbdg4p;9NWwu zU}9Rk$!Omy6p6DTVM`Z`5zPVOxU; z?ds3;1;Rfv9jSJnfRh=R;K1a@fp$;)L`y3shJ5wyIKxVy^6StQLf=?HjJ)8~ z&!;F@R{e`0d9jW<>=&`I=ZPLMt%maZ2*-uRjw$-|$|ob0n7_3Hp0{vX%i@B_=x46s zjyzaY_2)U{x>x&7V*cp`QD&FWPTzNX#8!kqD)O}}K-=X!@AJNyih1RK1oJmuf<~@b zFl1+cDY`t5B4n(ZqT@K{|7zo2wMY1wT&9mkRk%@1aW_DPZgS-M@Uptq%2$CU=xDD$ z`E!Qh(+jz+Rv2C2z!@$s0eTzQwxIkqnHW8MnB@9`keCi`H^Etym_d8UWN!phvCkP> z7KkuL3f~P?L#RRLCmtbd8op1X#Y0!!O-`)1=q$t@VT(EslfgN~tr$ZDK!|2fy;7P+q`UF!KD(c|t4HGpGkr%TIod+U93M>Zp;J;{lHpd zu8QH&6~!*UALtouPUC|}nKf#VZNnIZpZK(R36-g&JK3GKmdRTSIzlBXr^sZ6eD(yspO9Q3y z+~<_>HO^Hw&{+|WJq5RtVr@O*1zxzh)>_@QRK_j6yKUqpYidKE4yJ)zf!{L1AL_|G zMZYDv#4+>G*o@}gaL>w`8*B!__+vmQ6n|AP2{p)(VC{&V|x3{au@D{)J>c|_5M8EP3I*ya*&3gIOUHH>V;%O9rHm6mJcti)??Y5DbJ?j`4Y0;x)SBt%A+S?=>}C zJ#DK8)^DODeJ=Nn7MviMwj@MyvIMyyw%<7Ll8s3Lns>Q4h|k(Ym`E;5(9^RCd?E=> z^Jk*?ip$RMgq23PJa1I11Tb}zCECr|4u`w(bHZG_hezBrx~3d<<8;amzf`$Tg7Zp^ z!d~YS0>@OcSuOWy`n!ajlcWvWh8@%6)=Wu#J*k1bW`jMVleT%jNzJUvwS)SeT@tqn zlleJ_YX8px?zqaVXTiT6i*H%>}sBdC}@J~YFb-bp2kIJ3p# z#xN{hj_@lMz^#+p<>ex??0|&zBEH_=kycB2RnM8LOp=k;y zhxVA@T5~k_$1C96pzb*Ox#*tnA;KeC(EBHySP%fh4GuV~q6>74C zE}{~n;E|5ekk2Pk^HZ4>5p>+V;zV3k1n=movJC9ZX%)Q0Kyum(3S+@1#{qKT(Zc^K zn-@()+9M|1R3VEyL8?J|Hr1hOSs?;&a8gBUkbR^MjbN^X;NECV+f+@5Np3vVEl84h zRQ`K3WmQ8(4`5Im9P{}cU@ik;RI8iyr6fDWNbFeR zpwOahZ#@bN)pvDfT5;MFR?=4F8mIbq6a3LF!q43ZxdnC(CF{+QRyFx6qKZGOP2_4_ zF2oQi^FNSYlRNe$e(de~cf2BAb)kR*`FAHha8Nh{#2r<##QmiFfm~f^;yXS7dV(kr z;if=d`ZcuTGmA>BaxOw>mV7bG4qkO zcU8M|_?&vCAv;sFozSJrxs9r*jF<=AQ2BrlUo>z<;-3k3eQe_QDXu`Z$D|zTPs7e! z5F`wn=jE1viwBNAU;OjP2*;K?nTv_2tuDi;ZgOFeFu^#b;^gWA5%N6W;9^(`B{kE| z`9)se)G;_@;i8Ba_3kc6<_P5{50^3j&pd>>F3{M{2 z>Z%3lAC@0dbc5TDWm?x!P2DUn1;D7JBkdtmw(nMXpx>yPd1v`Ij=#&yNhdL-xvt?WjEJg%%)xqs8 z`sBA0_V2yH;hf|qTc|(aIc^*gZzIMhw|H-FhRLzfN;=8We|WL&33b)o3#DHhVxwDm zvi(U-M4pJcSqn=rCYyzp_c*@|tWg=5j*2y@G(ZlL2;HQXGIvG^Y>Wg2OH0b=qtY>C z@lf@TpJ*4Vh$7NB-AOS(6I$0zZ>*?|%3RunPaFQgSN!y*EwlAMNvQ-OxQ{?qtD~(i zeCmO{Xa=*kC+!6v%zF#%E8Z8V+?1V*KHfggx1UIh`^ym z)EN7d6BnR2SdAikdDfiuIbL2?v4_%NjweS0kja3R<%%-TVK)P)luy= z{|loggfQDUEwW!eqVFMn7;{mqWp)vyOIZzx6pHeug(NDqexUNpTJ+HCK0JG;BweCW^Aou+nFdwu z06511?sjh3vVM2HM#{FR*AZBA1m$_jdqAx-IK$2a%i7p)DeC$a(wdI_Ad(?&De$9X z@SZT=Xgai?S31Y}D{sfu<)Imm7NOy+`yAKf`|eb)5S2Sks9;+?$#BX6blj;NGW2^3 zEjaRie8(ju^Jullob;|KEkk-`&}^U4vZ~vH)K%bfw|T^DzcTg1cf!~1iRUKIr(;Zz zBL1_yGI(^X@b!b^24)vl@TR`;Pm(11=HZb|$TeO|hBU>p**;PQWsgPooKuz;S9Q;r zAj1g@r%`XtH)?Hu!b5XGP_Y-rI6o6jQHE}`EDDYAs8TwieK2*0u{8d!n z#Vs7x%~;KHZyQ3cGucEv2Q79#ZwQoi0B}2@$_G?;luOJf4_ykahKdtKM`*)J zXBVLy&L6{zGiA&KsrwsOwPK{-a+zNxPr#0uX$i5(0e)GW@igXGhu=5>>l964jqa2) z6XlSs)Es=#P#t(eEXU&Zp08Lv;n_&M;6gv-!<e=kjQnGfOAaztT&hU1H(7sLo&QdA>_5F|!Ei57V9Z!b94Q8)3$CRirTTX)BtNM%YKD53Y{L;6oG{f3>qYsfXL&7vfjr)9)#` zi{8xSluVPRid*Uv36_Me`t`out_ix1&=h!6@taQ8SH9UgLRQSBjJ$`zCi1Ke9G=-a zlGAj8;IF1TG6@gNqa!7IpMIbucj2A|{*?d6f=uppsZ2i~Ig2o%{xI4c^T61-Z?7Wmo?NVGpBe1O)>!dgncIWYH3p@Ej-j%j zsz3$D@s@arbd9At z{wc&|63*~461_;y)?1BViCaz#*nfL&8CUgcLige2rewApX!fTQP81U}vVZ2W1!K|N zBx!&t+e5sAV{v(pWVaqeb561BS|_^9wv+tSUNZbByse7Uhr;;IVF^ZVV1$-wIoPG| zBV|TLgdyXgEOIZmW;0BJBAqp#EMyic{ghaVbHxjuT_@@?lj|b#5K0GQdRJPiAQeo6Fm4qB^3YhlF&$BXB!53nRli)sKgLDJN>VmUjK6bC+1tKJGiCL1}JM zagF!f)c65|MXFJ|+};=U@sY&>r;n^g|vps1Dn}9fkn-NT9qTp#eAX)#6fG zAOk6a*x50nH!6$wfihk##sk*Xv7;UreuZ~wHr{@$hd|ZmBVV68WkyK?!71>xIaG9t zu|H=J2PtYxOg_QToy1ZC48+s+_qQxzNA<6HoJ7rZ>{%<|X4G5zF$YC>Ma)Ox?8{@=xb2as@6cZjkj%5|Iz zGSR)Dg_2!p=v{5CvgV@Pn6`^it)cpeorfE@O6ZDJO`o*XIqK35;<;`qv!riq?$E?| zt{G^QKG#C=kAL7$nc+C#=>9wQBx`zlR^Kuak(G34oUmftYq{G|Hi|}PuQa;n;a~W~ znZ8LxEU&B{(W+}WiFy6Ue#%4Xbu@Am+=tzZt0H)+TekwMl;x{zc-QU;Up@d0F||cT z-bEpkF}kWUwFP7o3oQ(k?1UE=!)tPvFal4@L=(L8_9_4<_oEQL)T~dEfFBNcvZv1? z`5Zier-t`i=XLl?k_h+gxfxC5NMH1!lUq& z&X0U3HHxNii}1j}Xux0^Cy4fcdw%QkPrrUQPFb4`%AY>Hdg${!xUd){Q=ra-zc=CM<%Axwg@U_(0J_$~!2wD(6cqBDYT(=>@GWki{V_$?dx04K_w(BHB? z2&>A#L%X@ZL8hhPc0>Z5Nq}D9cADpIQK{5)$8H zGF|6S5QmF zcf(+BZ(X7RHMbbi2B_`DGM}wgnfIB5$iiTYQbru($Mny;EBQinUHrD^+GF{F@hth$ zlTiI8f}Yl5WNP*C0N)%N5cmAU*Xn~z;$h8m|135HOV#cvI3l!$d%IqEY4^4<=tZ15 zpEz?81aD$99AEgsLYUBG3qOJdS!d&06%^Q zaZn%&Ur*Co;TAjHzc?~{9c4lu251)o?htS^5}Ys2F_Ua?iQVEEy~tmFh)pXd|R6SagPTCAmCV}^2Qx)NbN zu2$|goud`MQLghx5X$bpIO2YmN`lG0X`qj~50iCM{g%`&$l~x3C6RM3O-elUHzoR~ z3{5V4;()&e)k_Z6?$jboU%5$y`Q+3H%;qZR{f^XN{1xyiw2`%l|A{7kCs~zhGIVNK zqaw+H!FfpU>+>0&&cWK`>~H=9e<}0@z6Vmb9C^l`17Qr3w3jGh!!HO9bS z*NfzV)13zKv*T9J1CRt>)n`T`BCWGNgH8wV-s%NU3Pc7dTUNgAyn?SzWmt3GaTt{C-6Evz zn?#lExz}`17yBt&QT#!VCibu}RCB7``vrM6Qtz3|$o6};Rj??anDZj0@#dgLrTV~3 z!|R6Q%o`Ku(nPU^6;ajwMj?zxDJ z%*zC|_+``VVAz|kfRr3D}O=B7monw(68p~Y-&3#UOBDPAoPxS!pUs<%Tev12w( zZ7`bnT7NkOW=$_vqc}jA$wc)G{hMix!h#O@xH~0)`y&=rogPVcA)>;cJR&6PEF5-D zZv${;i2t5*EjEHPj3x$?lJ{M;;%@OF@At5YSJ0fyyHM1?@#SWo*j8Dw^~#ml(I{>4 zA}BQ(U`GK#7|X#nmAFitXSb(Hq3hT5FII)hn-WDkLRzQ%<|~jRm_eQpJp1)qR#fZd z60Ul?A-xMZh5gYi?===0;Cd44-0{RZ`Kqh}oa?y1N*-3g-$Q$rce(ka(G=N{#2?;i z447C2(;kFOC62M3p+L9xp)~a@Dky?Pdh6khi@)B}&|sMToSeZ1rvAlGn0By zfqgWf{@!;60;asMl-0CzMNZ@i?9$mTCvpUy;*nNAq7^_Vu{Hc*Cq#CQr-Ro?@g*Hq z>HYAe3fV%~IQoR=5Dr1JX!WoA5?Mh6`FhlD|EuM{>71Rh1S$!=dyWEC*ZCZk+*hvg z2@NXO3GAgp(ml7~_5OP28u-pO&SKQaraL5^^6<;g2p@->+DEV}CA3yZTY-0%M`RyMv*irV;)T~LA92kRen11H&FXCTFE@KKsFrd@ zXOkTLVFJ}Rp%@bVLl3!?uwd_7$6=?VHg%4p#SyFz6Qg;kP!+%&>Kn_@c8KFVBerhg zV;&)zS(PHnkFcjk;g1KMcP7`55#JIUB&7)Xxw8Gr@`Ndv7UaA6Hk$y~tk2xvmfxQI zwLh%vZ*$q?WJth!4cTGFkBa5<9q#bx;a8x(Bxy+6_1s|cPW&R9ys^rrI*2?U zDgQ<~gIcJlcb167Z+tO*86nZ6skfq3_RrH{G88Q^taev#dC^}f;XrFohs>_Vgq*b* zAV2ZOWEf#{$HNG!op_}kC~}N4Utx5WH&WQ=%37&Cs6*7E#W=>;Mx; zk#S@6DG4w#lh?GKfW2OV#(~0bN!jB`V=l^5@g3(HZ9=E2M1WIIEJGI;p!P zDds~mS|Zij-4G`c>|kNpC*0;Q{)=zXNvdB9lgJh7^bc8t6sbl&^sz>zKwtnrUB8aL z4WH|qHu)ur^RcDHNh~7V!*A(c)PBjY<;STr8u+zk#FAmi~5dV<3;Xyxt z;49y=n4;dhiZai^{IcL|j93ht-rJRV6}>I}iR#AjE1o0r{scDv!$&yJwiq{XaI3Gd z(!gCN_Wm{KIPB2i3+$QekR0N`YYGh0EovVYEqq!{cbYg*eKX%3yib_#3yY-^7Z#n- zoi}h{4Np>QM9sp=@wv#QT)@9ZJM&DCih_N#2gi^4T#`D!xHauH%c$c|{w4E>;8k{v zFxyok50havJdZ8|RkPc0G3?KGP(qxo9shYW2bllz0f1_bD?WEOHblY4ukz^ zmdtQ=Ts}C4MZ_bzTL;~dd`eeb!zo`N50re4QaOAC5nY~@f_{gZZO8A6Ans;=P0EyD z`dzrcXk0fpz3w5Z(_kfcYG30SOj3$J-7m&9i2Z{HwZMO{A_`0?LD6r*m;4`7%`Hocx#xL0v?twWZ6 z+w$F4Tf+92Z!FvIzkyb(;*`T&&Niuq*CF%GN5deUl~MW0y)Ek#rqD`BRjyH$pfsayO->X`y8}%)I@U?#)2yIAf5A}O zObu?PZB`x(Wl7uqopcW?x_v}a+}e70DfK`%vZc0Go{!{{w&bNB6}?ilOF4&XyBAmx zY61DPG+1!3O0KnBKMILQ9nA#D9H<8y2wh(VN3kWosX20sZ!6J~=qu{>d`sh0&yYJR z6W?T{V~-BXJ9VZ@37#=Pc5#?RviCaQr3fZ_JF1s@`;4_JH;`8PMO@C7hJ!gOe1Ajueb!&DvCe~RXZIeq{b`_w9Lk!em!OPkU97{VbfSb3 zfLB-GY*6d9z*tTqTv6M<(I#2n7+vi6_8l#nud@d!hL04_Z<74kb8cH`&5^+8i}1Y8 zV8WxEE&ote`X41B;-_p8f%s@^467#CC3>mnAIlIT@rUDluV4$_P}FXN+$YG){2>)r zF=$ct9Mo1$QyXX_Oxxr-G|q!95{anjps^Wc7p}1wv+N5(mV$a4I?&{r`-K=>%TFQi zv6ilr^XBjt#;#z_fFReDILk>yK)w;92Swo}hUGunm(RcKY%kP7P+ke@_H>aIoL{dd z|7PW=2_G-%(gg*<*;b&dFs>!VzRZ!O>93jNT?n9`rznX83_xg{0qX1T!=#rO_&od& zpR~)M5$J>&=%TK8+V?+ywqxc*eMeuMT4k|s7~W(165<>(zijBT8p$ZbF-l68nk(B@ z=2;ibqmwsC7%xLdKCo4J%R@^#Dy#sqj>1q_GlbAJJV{TP^$4i@q|>0X*Zjh0)+A;&=}w51lS;^U}KB zj@_&z^#cMzMt;Dub=J&Bz^afvDMI5R?8C%EO(DiagOJ^oZ)pjrq4l2fH@2w&+KJurUq zw{h(RDrqAfdFNE&s8;`dQ_j~Y3~y6vL0y!b2#vuFtGZRut4lT%Fp&8YC9ioudlh1I zfXEX&YXGxrO;FWwy328^why23h;fN@Cw%(OUSOHj@i5pOOSC5MSgBYXD)U}5qXcj2 z$HeiCyHfD!o zTPQprWCA*2fwB0rf@X8fu=29Zo*=qn0dmFJ)*nqit`m7dPOnGun_n5r(Dw-4U&F_0 z>v{zF)a3dh`>L6YUKmjZ?*Ec_UyOVzT%W&&>jr|2h^9$U(e$Nf#GFeXhEkNX{aiCTr-s*j zerKGjWEVlGd$Rq?VXw-W8*TKraFzZcCl%#%ncgJKBZ?AyCtTfegd8Rpl&mrXOt!hM zg?*mjyWJL)t<*7FK=(H-^RgfXr`NIutF2ed%?YxLa6aaU-;LVqUpOy1e2sM~HxpI| zRLD1k-c(_KKx0@04_FnyQ6FUBOdWa@OT8_q`Wq&3>D&S8w|w|A z_0L)y#94vNkdo=QSH;bND-YVuAm(pWLhvVw)+(ry42I3XN&JD4@s4DhOv+BwYWf}C zzgRN0ZuToGUTCP{V3RXjAm0Vdw(7HX(ef=lB90%Ac%dg1I*!VbO3HeZVm!{jU4H7S z2LXNNaxS|!o z-D!+HTk;VF?6kB+W;OE^&PoWWV~LLGNDcvlu)~mH36eU{OiMc5$uzKKApH{dIe|vX z@vbytVT0YISZE|pAa;b5xu~91(g#)dY)Nm*%Vy}Un6YsN@1gMYd_X%$Cf>3?;Rcgr zS^Qs|On^tJ)fbBc-kI*)h51d{T=?#~fEYsN<@&3)<1}Yx0elu$oPpHuTKPPZ2!y5O z+Y(`F=LT(E1gINqv95d`^1 zFSk;Vot9OVt&lA|v2s!ht(IZ9|HBZ~hJ8`wGdYaHhX$a}2y6wN4(>c|@7uP@w)`@q zUNbAw*fGVf1`$t0;R}e){-)2g8KBQ}>I))nCyTiGTX5@s>C=mCet{t=Z9%g#6Kd51 zD(v?aFLSR2#|gK)TP3KIiv22Jv84K16ynO*8;Coq-$ETG!C{!wq69c9NhSF>R4qHS z?yO<0UIUd2tQHPiv0|&({)uw|3D@}NQWJUQio964XR-$|#kWrkeg{mk464R4+50O? zir7k;5NDTxC$t6)S*39Qn({-vRd|dQiZ~q6-=0T;$&W+7PTqq5uQeZ zeUBv~UBcIAKRBhGpZbc0i3e#0HWHipy)r#UEWJxQQ^?7q$?%s#)QG-{2y6SyQ^M5h zkP5PI>6lzw=|(-h!$UUjorUWqMgPez4fV}`K|#%_Ut}540(OS{}2MOYYRx3z+nS4?f(YiI9+h4#Oa?^D;n`!|6 znS@O@F_s3cvj3d3nJ`4m2ADuO&*7VL!LurVMO8yHcnfd~^(}`CBEj|UWiP|eMcQM1 zV+BGcK(&R9%HSx@gDT}moGNunN!*1DLqLy_@V=QG;4udirn>~twJuyLkzv&3v`%JEWAv>#NwT zuK(SvO;+~5IZAY%rQR!%A5lz8&Px8DV4?r);`1ujT>rC~90H=8uLdo;#gi+f zoWjI7%3!F$#f+-F>D(G{2!*R+s=xHRSntsYo8uRep^UTjOycj5{E*Wx@PGT|nSq&e zBg#c{vG@~4->k;ZqI)jbq!XlI?73e4h-wX9I2su;K%Tvk9Fi4_0wNdwj?S0cll5!z z`o8;rtI3e*dc@ni2k#v~a|i1~X#6RSyP#`9>txpG{!iMOHJ%OVZ1}_MjXS`+D7@>q z3~x+x-qJn+a8+Zs(0ZGkdlp~M3mPh z74Y7Ya8d(Hi^Z8ukzSkl7#7q7Vz)owW~O3!1ZBkF>W~VEpQ7>-dPyM>&%RG%qT*V^&!l3znaB`x_I_P8(|;ZB(J@IEU+^QjLDSU*M^!c-}lU25rdi z*#M|ZH&*ZxjVC0;c9{=Lp|x%8wUqY0PmWN+K=tc;+LlwF%o8QbfQo+Q1N3YX#Ka^I zfD*#W^n@TmBY|-}l79Y&{4|%S+ng|e(|Iw1rqo`UD~!B<8^mNX1cU+9fbpT*8c5%C zFaH=(1b4V`CDBFnnD!`XHmoi2?y`j(=c|8YnZ&W6kPyngQALu?!&goRwcEyj06)e+%qxis=tAFwHQQ-U`0@p1-0OFiKgLpRR;Mq5&S&N@DV}ZBo5PP8sG9ZBRcSs$iJnPyv_E~7CA$hBpUWeK^*gE z@)Ejf=>BC?>)8hm{*rz5-DsRp@fY{q;9sn72z#TkTS@+ovwimZ1dZ9PRAN8m#Gj-Q zdLyx!m_BF)lYT4K6DgI=6iIWH*;9p5=w$&OnW-rj#prLhKC23u5Tf)Y?;#w1aIfGU zPxHT1s_*X04BrshbeR`8lD>$zxX_k{HqN*aaxa8o$>8f5bf4F}s;J!7)c#Xh6Ln`X zXMAWat<$#-+QskFOp^XN?eA+f8pg%f)}xf+`BT;I59X7VeB7Y#Y@y}Bb+z;_tnRzA zuT^+LQG=YD-Wp2#(WEFh)=odNNZ%w=NkdiqPNv!Hy}4pqNF~MFOyD(3D)&#^y@;y} z9+9lbWB@76>%4vxM#|C78q3e{Gs=LjxKkk^N!_z_5Z;!|zvby}KKIaDsUWQ6i3Zs~ z%zh$~a-f2;_3p(lx)}jCD9C<&Bsp<(mC=_qJNmn9{$?obV<;%4N5X0@WW^*hH^8#`hnB9<>sId zw*q}CJ>00o-8=Qi4JiX^eP26py$Mr&KFLUr!j%X=!albLLiK*x(9pRo1>z7I+9aP9 z4y#x((wqE6mE@<@7?ynA0;Op8cNXC3h3MorRycr2|9j}+uYXDDBktv*AhgnHFtZCs zc%IfHly~k*1FSI8@HPPBclQ3i<;i!bpnJujk0jp83E~yt6oivaPLMwYg?~FM-p~xpdFhN z+qN;WHL-156LxH4l8J45f=MQ}ZQEw&<~!&7`0o98*XsJQ->#>g+PiA?>iuqIdYDf_ z1|jdmEQ1Hr$CP0Y?XhVCMGqy60(=q1mCSD$S$63D?{hbKcX;YMBmgye;~GY1hG9 z`Gg<^_zyW8&!qVvAcDe8QsCts@&gB}@=Fc(xiR4PiNmyD@S4;sp<@rId!r<`t<>^a zm1RE?C`(V<#V$Cw|OH01pZh4xy zDazC;i)051)t21w>p>jC-9znv+y>H9k9CSiTzJ8Fvv5wNOExP5b_*Df#7SN!+7*KDkpt}!@iw&d&H44CQ zoIVz|b7`{$ghxLH(DoY&W5HZ_3jylA!mzuj3RH2oahuZ%<@q?4AP}7rPMi*SJCx~Y zBG7&T|+zabGr@HCa9U zZ%g(WoI}+P?At;C5QZSW_hyY@+V3yHrNm>|Iji1pu{!Hde-bO|i6NjuEit&vgp6%m|%s z=V=KK=0sHCFl0B=M9wISG*$FO^*ZcurM5m&B)^<)(F9`v zK(7g&MFr1O{>MMR$O*rHbP4&CaoGt*k||e$;i)PVP!hh{v@|}MsZ)w22ljB_v03L_ zGLgz4cNFT~l0lH{^`u`s0q1gJisC?mu{x;>_728F)SNngc2Gxr{{9oG^%q}|H|%X- zL*@zL_TN}8%Hds~0EX4C*~bRSTEcSZy)dYa;noI?2o}E4vk{~s%6N%-6o=Hw8-Fq( z5vRvicA)SsH6EFJh^$0o4Nl|z=;B9@HHratdo5skuBU|=Eo?sl^)OYlu!&6@Vqg#$ znAT9=^u)*lT2sJyuAsaiR0BR{OF#8&*Km|V_Nmh`FVb0uT80+*W<%=F| z@+({s3=L!+)>J^tTOys3w<}5FNaS0H8M7wz!#69#0N7uK3?u^~fSZ(52=(&0Czz;a zWFgV6NJ)&qHY}8dOHrqelqb)5cnvuSEgT~~bys$So?0=8ZQ@s%?JS0o*8cO1h9Ca3 zb~-t!cJYycHw24H#@Ua;2P5v%qZTt-vFa|<#a>H=!^Ep_=@UkA{nEUOoO@$N)(xRi4 zWD&(wqE07h=UG?$kEQy0QuS*xyr|zcQX(t~s_ZFhB;cha17Z$gxA3Bn(B#?w?N|j4 zg^hu^emGeU!A9Af3miX-7DDsJ4DztIug-oTCnRQ)XR{GZ>#v#76~^lpT%jQui^i0o zG%C%PkSi48vUx<)lbATBAGw{wO7v^!dA4>yHIrJ@(~0t8fw2*N5Vg26rI22T9LvpU zEIIrjxB8F~g~l@oi?6r-#HAN}7tyivkRx=pck@Bam{D6wi<^N>^csITvz{UxWhS%C zbNyZ{cua+Gv3Bn3JB94>?5ps=5)o}JpT z+!JI!S&%ivK2eKiB`N&zN>n#B`@uOOBIp=xl!To8%pLTed?-yoaTCT*PK8v^a0BmbE?`kH~*dd8e#7xr8u0bd8p`L_{j>dYW7K>(0v8PZ4nCc*h%H z-v=9`AQr)nCk-v~mrPnCuPeZ3b9-27p533+w9PAq3c*AQoy_O2HgpuuXi6&fw#&~L zv3{CtX{3!rK`T3xXNhEkke%lo#l+jlyzQa&W_aQR55JRN$pJ=&#v2GAYXlk01e>|J z{?)8Y%&6GOI}K8-OXh;GbkzMRZP=1%QaMGjaLqZUSNvHfqa5z?q{zwNij7RJ_9EmH zS$kVWhp;et7|yw3EjWWOkyb5_u35;C$bx|sL%MgZ;>cQx>50$@pQ7RK!~hSw@q`Gh zL-e7ri%?9*M7U(e`z%lczkq14gN(c3WJ|jV-}c$$eOXI^Fs>%bS^}yk@*i^Ghm=># z$Zv^u4-R1;UjZT-n!(KE;)OpQCa}}h9j}nte&lj+WEmXaQ>YR{5l|)ivvk2?)1JoA zOxZ;tCwdE&y)+@fbl`o|h6%WJY`sPVFCn$S1aUJvJ5f;;+Mv=q$duolGW7#B1m6iw zn12?P%kOHW!xmFcjQ@lvKpBT)h%h^ko{J*MboKVUQOLa#7BzFs!J@%`Kx>Oy!=v`- z*;u^`9IldY-!bT>iE#9hLg<$x1FL^Yi{`v}HZEZ9AFtEO_mx^+nw2Oj*Ok70?+JRi z^CSp}(6$q-*c)p!(9%V%S0*tWqzJDjjBq(j@mnDa^J&qes-HQ)$b}qkvjaLQX#(`r zGJjy^nr~6+Hj=60MIfCU=AmQ{sLRotI|gTU5puL)(gE|pPY`;r`SBreZ2{ukf<2qc zENP{Lt;NaZ76EtS9u4zNBFpf!I@besKI~XlEMff;;1a#3VpCLc4NsH$N6~I{1qEdh)X!FsBf-==8MQUclbHDvnW>KYTDvzN0bVX1TmZMo@I$B58nTu={Fo!-u^Q z$zRL4O%D{pP9+8_IcS?*Yf5N_AQ+=G`+{zn`M;jh&q)^|?Yg!dpjnDPBEL&9H|#1( zk)t@7lJw6vC17oKi5d3;u$Q8@Hs)Yk2mnW`o-*ge5FFkn?7$ z-+&$_nW+FDAt-|$)K~lQG;vnqn#jK6d~O7cei_@R2RDi=De8i3<^T|58Pn9J`mv?!w8I4R-5*1 zEQ@pyyyH;vT**ODrDP0JY@2zm1xT&Ro;qOQuv3`A*1Wj+xH;M2Vu%?>V>7CMd?H2V ztWg2s!$hH-B?9X??~(=~`4?2JkP%JMSxt%ob2pH6Zr{P;+&T-OhUpoi3$5Z15NZe0@3ZuoewBU84pQpu4}gksF*bHO))&vQH7ov>8fc_zyZcI@E~>i*iV z#O-EoZRGkyPK&#^gSg1sQWZ5QBQV$qxFdusrxUyopa55)eGJskBy#))Ba`W!ll$i( z{^ITqS+4ej5L4Ui$TNN6sa|Q(H*TEkmv#!5^W1_Uz%}N%eKn4ulwIi4c zuOF(nonjsyw{{zB@xZtaY&D*-)Td$icsD1BrL%{vEZoT3bo&z5jNox1d8YD;hNP#k1BLVutZ-tr)R_P zE*?2NwAVfYk(?#Qx)^Eg;qKs_rKZEfV0(sU%wg!i^C~g}xF$4~D56@VUZIkts|HD0 z2v4P65^>t$DVBTR_^17*JkZk1LC*yuY{1E9MCTx(H$yKd{MY zI5gRcFGsC*_+#N85NuTUX2XfpdvZ+xY*<8qn|ZLfGt@cAPCn$ zi)xGc97)b%79$d?Q*T;Kh+UlWJw|->IH;gP0H9vkU*XIo^@T;#H`p^kI^QNm89{iG z($XocMMGn_I3)bkIRZai#Plw#U~&pZXxt-}W|^^b2V;u>5s^d|qhlyVB_lYS-^-!&02V*o!l{;Pa6EeS5q*a-?XXcE6?Apk^1_F;Pz{AhL)2jP0JIWZ z0kPFg>W7Q+4_Urc#`as*^~toe_dw48$7?TiDOAo)!4kroF8OX8taQ9>;|(~wg249B zhJ-b_YGm;RD>DRP$*{{wJjs=C0lLD0<>de#Y1w`F+lIf5{4Jts>pRqa^#X zL|Pu>XaVWc$a!2o5XV>>7(L4CPfk({UoJm)U4;Am?^&4X%7aDN9?eFv=Bp-8j-myj zfJG#U59IaSxNop1*DB>duI z0pTV2SEo4hIKLgR9QEDq{8N4+@GdX|u-ZNff?5v2;CJ^`Etw;V?~B?|kTNKspc~@h zhAjZ2BDlAER0sj|UHNjFCy?R#5gu119cvDOOabX+17I)R0-DPBRrE@;TxL*!&tHTP zyJz-+;3qwG2P)7(Qr{(&7V-@ZXq4jaWy_c{AAgvGmpGtBN zkQe{}02ZJae5|n!GtzNN2mq7?0sv@Vt19O9j&A0Rl7xQJ?33>}IxDqc9FW%D-9Ft&&^7{?8oLc}Z zj@MiFsjQnq>?tCk>)XjA=%MeeLs4k+}_qYy9@aGx^WZU>L|DY@`#CFFuhwS z1cFMX+FnjRE?mwg&cfFUl?M$fe^$>T5Q5BlbE{qp*?=lGU2oxU9iN?kZ`Wy_Lr1N| zS1i4m+kG9^ckXWg4EbI0Ywj`=YJ-vZcs^a#{t;d9y$>xBJLYa~Y;^m4!j1U}`U5{f z*zYgK1G!zFPH*R9rL7dxH$xpLR}NP~e?jktL)f0(qq_cWZ`ZG&kG`v+?hO$5&gYv4 zSnkL3MY1N-`#$)`8TTmR-#=YIS0CWd$D5sPqVT<)wY4R!m$bgm&CM;sOToXupKX4g z-@kj^yEK*t?d-ER(wXKTa`QNkIPez$!~Q-+BkG{0GwZ5Sx z$EzzrV!_VOcj3nGFPASHX^K}lVkggmt zCw**T9^Uatz@4!}Cvz0dOQ!=A%^fF96wMQ-RFv8{AwvIwC{H0`J?^Pw&nx8GHO}?> zohQRfK|=p7Aj*QsS@=>eisK~+=F{(qU$U)GuzfF;*I;E26LdXP>C_oDRO!|^>Z`x- z8~FFl1^Dv~1Y&GavD8D}y}piL`!~o@(mf08oHefi!80xy<`=)wQ%H8d3#8Cuf4wf( zYZn)#kpRgD^lPdGI0_GccsTbg(d;;)3Hx2Ax04MMY;JBH0io9+o0p?h|MN5a^3;vuZheBi#`s z4YsP(uMR|V52Fu@#awmO`yHwB>hf>4n7;lpk=0oSHuO+`l4?$;-S#TI54_K2A6|r= z%WE)TR#oHmzb9`Eu)iFoNv_HTr`9|vv??~Vs}R{T53s8%Fze@{5Lity&pX^~bNxHcF|<>~*M5B4YUE_LM#lg+-vQ7CV%(B-P}EI%?W0nQMDWfpHh><~FW@_b+qxi2QOr^m=%0Y`Z+)M|}!8 z7ue~p-lutf_IFowu5aM!h^aO@4zkFt(t9WR&igCzj2KgXin~W}y~3=T(zy|tbT?iL z)b@W5v6|kCQ_K2_!sn}H+lfP8#abP=Y20#yKS`VITh zB2QdvE{LbHc{(o4b47v+p%Pr*1{RBJK+Xt-mb+Y;$=YBj!3jK2KP|ggORPl!JXHm` zgk)8;8!3`C)V$Q}T4uO}C7Awli{u~bhQ+zKVMqJduZoc0x=1#1il9B?jCLHi5q$gOxa;dzZuqs%X zpeW4kSUflPkE0{}HQG?tD{l9+nI5+&*jOHE}<7A71E< zEh|3mYsDFj{k*nS4qCr)zU{iX7FQOGUJ0pSNWVttjtin0vQ0Bxmbw_a3(gz3z0P1o zL^U>KK7Z>ARJOlxglAkMF{IJ+Fvys3v@}F$IuPIz zShe_3RifvhSMGkf3S_PS4D2CXV!a;365F=?^Gth73?3w0H`O*;(ulT#*vq;m3>ick zi3rQmfZRDR67=WVn%_kz(fZqqn@9q-s4lhYCIjnC2}L!x>JP)j2$Budh6A?DP{tN3 z>yxIaG_BQ4G}uFv3mg~HIn-&wLutH`N@w`Wk^E7dGB^{2M@StV3c#I;i+sp}ODA-w z)gyE==dex|wzOt!F=+}l5d^>0$_jeE>VRbG02myFc-+!5N4;!d0QQX?Ub#rjNRja* zPD&J=32jH+l4ZZn5-NP+cj%-1j7^3%oFUZRUy?B+usKxjF@;(&Pl)??Z^NONWtl*U391&cjAa!dqOJ|S$gR_ z>1kAP8N&vgQ%E&Pq`;j!ZBegLsX(PN<_PivRWzQQuUNyoAZIyyic%Yz9_K$#4ag42 zo89FfQR7H~0~lPUBg6&YOi|Mir7(}VVIjkEVBV+ysqNvp8lUQrEE6ut)}fM5!o>9b zT1NKHkdG#0Qchw%$MuquaCQkKXsWa9_j49>n)+(}SG@KkJ07DFn}URs(~!Kt5#BTO zx5#K%kF!xFLXs0=y*HCQW>p=_WsI4%e52(xPbiD}o+=OQ&aL8eliFa}fA_p}N_2kM>`jas3Kd#$JwMx%Z^klA;qSLFR)3&8Y zpzy&PncnjG&@nnll_Gc8DSxaN#nFgpol3v-!-qs22a)t~3sH(YD?pQ>CJ{hH=@ekc zkvFd+z@6(P?<~8TDj2C6S5rWIkPjx%V!`#ufBkO8k}Wy`dts6~vuR)0b-yzxazh4g zHpG%yM0gV+qH>zd(2xZsAaSb*==&l+*qHXx*jJm@z>p>C7K?IrT6p}5aBXF!8PcqU z3C9!w;2=CRxsn%FB>*@OzSoFjM-)JbrgYRHN^L;xtSM>kT;)xcrFIHC!BW2IM)|pL zQ9#rPLd<5OMNE#nZcA%C)5=FxB0IsouPloA2CT8jrFkH2hDNzor>da7guluhH08t7 z<5WQK&g&r=f!9KzEA_DlH61qb@Xn{3b3sDP;h>`O$d0(e#>tq)Nc4rFF)QL%31ilB zPgh}<8bvZLeqUMvgGC#I{uL)q;+A0RphriX&)o*j4h^M2?-q+*v<&vg&uw=Ij*nSy^Ba8Q~arx<4xfegxaj>OiJYe=kx-phQ+! z*bc8Z7Igo{V8L(6a`YX>y2n{?QT&*uRW>J>1!Dz#WLOj(Q}7{QUZlrP91|ckNr{{= zK%rc0%%=KWTH>#=gefd-$Wgx2COaRg^G`@kqzSWr!zx63%(RTpzHx`fcZM}c!L7g& z+~YEOVRpt`IU2X9eikK^+d^Bn7mTMtrBwlr5HMQY(r>kI8>tjvkjPLW!sgdPWj+iI zDS9~wOIJlhp+!z)f_*B!LOK?=f{T{J@M?5zJ!HCVUBF)y3B+JlQ~Me1k38ChzJ>%< zI7oZal*j-tidK^oKe<0-UOLZer-2ZeeP9#3$`v~^lRVgi39prBqY+(ziD=twiR0N! zr|?ygBs=Y9vyNE;8E!d+loEk&LbHeAxRgRj7qlx=Ti>VJOorO+QS+S&OT~08&JU?y zy|oP*rp}_83%#M!8g>Pz79tLfQWQ63hy)R~Uzt5_DW9#46c*l=6VU3|+#rc(F2uDQ z=jc(bY`v<^h2vt{oB>-~qaJRPT2Uh0+eOt+l)7qds&A3WVe2qO60t-dS7k(8zruOU z)uu6%S<<4a8@^1fNq3D-ZwBFcXpl^$viS}Z%3U9bF4m(oKpVht+B>^Ln$$WITXPH; zERfI)IFIF*<-yO@MJg<}*KR7@Q<>uE&`A74ge({#UsGK- zxX5=KuRmdUUC01Y-q}$}Qd025uLdv2%@?H$1Vr-tp|1r>`CEv&OJN8Gs1-3Tnb0`t zNF|bydNNgqj|?$XkN8|tNSw}cQP{?Ddsw4Ix<~L}z)AlaWHQ3FMT*`l0nDL#(`e&h zJ*4Y8f~`+AC8E4PupEz?6tEBag5#9pC{4T964X<$X|Yo-A z2Nzi0X2tzM(M3p5tOl0AstXQArvt90c;o*-NU;+xqqSzY6*X70Zqs;Hwq===#EFy#}p zFW8kuU|n>7|7KjXuedJ_-;P;-pV#rsF>8Y{1+El`uUZwEqY1|(qj=5XnS^@x)w34h z(2Q8rwrrB0f64TAbStk#A}xMSNLzSmt0$z|;Ur;{wfje?4dIR2hKU(RAOgHm-exdV z!&+H2!&Z|-DdKpO{OH!-Dc)XLf@G6y%C`Ooq*Nn4dhxupcqj@$Cf^)>mDW;_B!Y0) z3pP=AB7$r8H(f)5JA+6<;;^`POOL4%A=OKh)dloVZ|ovg2C>*^b?~BZH}liXysad8 zr@Qu0A;h}3$aD(ceg)d<0puM)N{7~bBuDf!2x$EL)N~j;luv+iF(!H@yLe7`$>f1d z7^MihUlF%a;6Ew}j1~^;(G{5=WkPrurl2$B8&;+NcBbvf1nvqz(_zV)E9##xbV3qL zP#M5*7XEyM4B@Z8H&PP(2)dw|(jS(ey22A>sEtuZ6H)mdZ+I&vLJ(?SpWBwh^&rrd zz^J@VwE;4LpA zab~QQ8BzCpK{T2=9s?vRvuhREnJp}x%Vk1Al&2`aIBA0}8m5H14-u~JI|H-&$3lc> zS%Pa%3>JFCbA2bq;(!VyjZauEdA?MCmaF*W;((_!w&+udJd%*qz1aa{|3#9uuHu(q zvAQhkaUx?lot$$jqu5*@cs`r_H;-CiAvwp!6k?^A+Eql+qJo-zVGz1OPVq1(XI$+| zjzuy{%Q^q*-d3J-u7;04%p|aa;Qdvewo=Z;m7wqKf_=YV;_aepZ%5K`zt40$qVTX^ zvbrqnaWe8nlzTeze?;AR)Itl%x&K2{>Lj9QTv5vMzeGDhIlXFM^8XO+LJ16%=j{G3 z(Ex&XlO3VX#M{ibgX9@Z@^&K z-#IfD&Xz{qz&-YD<=`pqK4@NLAVslfo#u7XG`!3TPlbBUo~=vX0#TJIhLz4|!6F&8 zYqET@l-<4kX!XYT{;|?v^M^)`KfLgj=dG`Y*VzL>#oY?umLa2}<=B&OyLjD?rMyvm zxb{GXF(f@4hRNNxdTm3!ndHvJOA=GX+j%-Ti)&dLH6 z-VVxt83yf2$XAGXGM2uHlZSlzFFX@``UZdLIE7E`@+TkJFn6k=)rBfD6+~k}tQZ-H z_(~f7;19OyFROBJiqXEb)ocv4{Krsa;#AnPF%7tuMVWYa-RkpC;8rx?rqq~N8VV$Jve3qc+Sxk^Ge(0XS2DWRP4&g zW;DPKrO(H8$Ts-Mk*a1Q4cr;Fx@TS}`2Mu{QrHK2>mv-8eV=W${uS1e6TU84kYlf%qWtsMc_AzgN7rwOV3i|G3X^6{$@e=bM;P1hO9)e;GK#F=j8zXMLtfoM%L2FNBAbxxUy0zsN3$UBwF}9Oy>3Kv;OdQ)s*9C4+mp^mKaLj$ zZ$z`;>K&In{-29kkk6a-N6JYXaTU0B;Jx7K`<(DH^T+v;w9xK%x(I=_@j&cH;P3I| z*4DXiwAoqon2Bp7qiM%VrF?V+^&wK82k?Ns8!4J}Q5#Z4IW~6NulCpjDgwQ?|6t+8t~l#TZpcKB0-FJ4o1tEl=ibdGZ`e_M$=_Cpgn1 zWf2-#eIZR~AZ9_Dv)bO8&}p6a43~8l6?(RBKPNp^iy?h&3JIxNfyn+rLrOb%y*~~Y zTx`hh4wWw)gpV1L1dGWpegDBtX}kw0ySbIz6=H^upT;MYMRT%)FIH(+*d+(w z0QX6+xIulzTdZpRMeZ%H6y|V|kBCfyq9$-qu^IZrPoAwkwmNQ^B-4q+=8Z@e%_Z#v>wr{llO6f z59w@0Ij{KutL#IF$_tu%{Q98m&KhVOv6XFPt)*#3PR$x9#P_nuAX&0yWb^sWFw)(W z@l*F0zxqwqkV=OXvRgH#63cXomJ_;L9~RfBQlTjjJM*SZM84Lypt&*bcEC4;SDlgO zF537Z@STLnNXNSr+bW+Otft)A%E-r4HF6lix#O0G`)b=j&S0NVCBS(@-^58JoSNhZ zuxZ&J`Hl|A4X?PN^P!1~QWwY_oAsgdDJO^gNTJWals;wUG6ZVB{{D-lUjU|m8!GGz z>mL(hM=i~Ess}jvYQvOt*luk-f6r9S2=kl0%wag{g^fS1{G$73L2lSHPeC1EtL8oZ(q?^a2d}emq+2P=K0CdwmG9sW{uz(?$V=W--M1L| z@?%e%qZnCekJiU<+qrpa++|Ae14QtS;qWsv7K5>tXgoRV4YuZh<3?3XJR9n4YbnK* z9HM#wYt=y0Y?~>mrc(%=V7HTYhjVrIj>k$usA%D9_bbb2 zy^Ylls)sV(2M>RME~s9br9C4I5~|ATWGOwsZ+@h~(FvT}kHYHSrn*3jK)S>*GxDvg z2suF?&`yUHRW9&3KO7Ed4%U1AA_@^Ug%x}d`PNj|n ziU4D2mkD&k8UxEkCQicc>v??a#e|jFG=?9eb{~kVx#D0#VW;~wgrh%QSjDI}BZ}_& zhLm#a%@`HKPq%r%B_9;w>hB*C9<=G6PHk-9o5!^U->GflkZ1L0M2PvyzrBhe&2LOs z4@)R{2E%trH!t#H%Ltc}E$|(i4NU&~)Ju_zcQ4cx#FjJcW+48>RBlMZJBQ7LN6Rw( zbh~GUd5jSKRd#*<2<6w)ItT5y_wyonKaj1nP|>k`W*q6n(m#~;6v#1Fm3Lu(zY9u`KPo8XIu`aj%_PO%KjYq4^M*_(M``lM*6 zyb;<0o2uc6xu@=(Q(1R^|Bjyj+$tN_vz$F*fAEWPC^7!M_29u1tyEdh5^|smu;+`U z0FsL6Y8LAjW+@-4wey5X&Q>cP{BiD1Z#f%71zS)1Hb!5CHY=-NXVXpEyuWg3@YI4pqG_CnONK3VHfjC#|kz-_%agfGtP3JWcclwD61 zG^1Zkhn74Qy645Cckpny&f5jKZWaFbppkfDbSu`8Y-FzVN>CA%bue=UN9a5n{%GmK zyteqFvq`(5&@g#PC;G2GU(jisnM_aqNYng&2L@vzi_&eZW89~v!zgc~;KCRy#m@J? zo%WK3!9;uJF!tXUn=EXD8u8Q7!*5wCA=UomBD*v^dG7VCAaVT|%HSxxg5|9wsY zHcH2fe(P%-Vhr=DhHm#L*E~t;XmH@F#Eq$0Q9v7&LdW8s_TMlmOT1m!osqw~23;Vb z|94;*VgFUR2@wG36#xLx|2r_OWbEo@{-4Njtj?MPz6`RTq0whPF@_NnW8r05r+GC+ zx*gjd57*)y&02O0Rz2qMs70e;_kupRMzk6RiEu|8@`y>jmYKUyhqwEq_fG($mMl#>L)sb*tsM{QK?s70?IE-}f(mNp8*JTRyh@FlD3MVNIJC z)41!1zxgh&>B|y!$3=H|$A{z7$H8?S5+Y*^*VEzNEL~xmETYAUW2_;bb(`{Qp}FKE z+ni7paoF93hiA7J;%UySkVmHC&W-D!p}inV?O(yoSm+1rv(mh6n>VNe?6s-04U5mN z?qxyy$IMT}Z_lTFYuMbQ@8#pqN46#HOMRCf%kH&AkJ)_eeor1+FvYp;pGY0{t$xer zPknw{U2T4+f)1W2XMRs}OG6WXeSSW_q|q4NjyY{@_+L>SxeMuMeeOM_eV$TXeXMW5 zG*yC58@jyE4zced?p2ZzvuH2FAuJ&&JX=*0u!o4(gfv96sH--B8XtHXh60 zI=xooRSY^i*8TlDyAeUizy=3jEg}Ec?`~UMZ{6)1u+R`!Egg01igSusotZp)@}9=M zhI4htSPi;m4*4CSCs-}IZ4NsfnV_jlx#_l#<#Nf%=(jfq{h{7?LEvYT-}&EjE|lb@ zjt5oGFZ(_NKLuFSrhFEd#k&(e$i5%@8^4BLVAmKvb4*6<$FJ3PTsky%AgI!!mxdlc z0+ELMf1-A8cQgIOScr=`T}@PW=V!~9sn~+D6g_C|1Ff-qM~8-8)JdQku|Q3KAppNj z7mJP0nPHtlihokotJ28Qe|(Km_;h&wokLU-fiL2}a>tG);&G^}l#+L<{h{nvS6G*M zP@iv(+ZPr^wPH0pvUraQ>&>Mm`D-YQuHHr^f-13-?Kc-b9r>84SgIE1iDWWOT)EwKIcLU5Ly^oQQK#12AX~wV8NdAe2 zf|0#V*T)|9{ps6;h@XlqWsxHcA<^yqGOz+)eBom1--bA6)Z|xL>7%Gbs*)4edrM8l zr0NS~44{!(aIA;I!7I>WOu1h)q2_-Qkjj@Fx zVJcunuBt-Zrfk<_tRL&BwxHPRokdbem2goc8vm(|F;hs|3G`6W;H4B(S0MO3C!>*@ zu@OxbX!}~P`@`LN#_ea%?TET0&DBup$-gav|0v&=Um>%j8xZq82TqI{O=YK>tK*fG z0v%Oip*u!4ZW)w|LI{-@UNFM-pv6%X5Vw3+O0ZL!0-^rRpQ@c8P90L9={p6PWdZy` z`S61E^Ul4J@Q!&GoUKheauc;8U^nd&(HZU(ex} zIU+t?21mh(8fhtx8s~3Tc>8;3#Y$D-0%%AnU=*D?Tgu3cGzyr>%)TT${6Le%GqfGH z1iNqPwB_#1Eix~!++AwseOh;|OCga?P5o2*>)v^%p+Xupw<+T{J-bgm7b;VXn&ssz zF4)EbvZdZ9s$18`{(*z8re4%a=#yx!rqBvBG z^gFDw)LNdyIcG`w^*YFA)$rqlbF9H_+%ezm9t@hM{@4X$D~y8+^D>y|V-A=`;8L?m z;8``YqC?e5C}heka(;zmEwvY$LZf&L`@7=hY#=i=9B#G0bxXdUX#FOr=8SVHVL=HP zhBE(3tFGLlHZ7(|BHVuGX)hbY=?(&3X(j z{>F;fX=;7)-LW-(1{|V+LHXN#bGEqlfa*36_S!JTD4iG}!^A4em?kVA=a-3A)DBHp zAx@6T&#ZcMUwEWJ^DzBy?3mX{;ILtqkbUe?|7f90ae_=>qeyAPig4iBOgh2B!^Ej) zDAOV0On9Phks93Bj^+ijo_UQVbZ@f=eAOLzG*%?#u%O z?cn~qMzrjI*$CkX(^&@m0&uWV=S{Kn_F@5CGaxYfc48XbnlIZh7_hwZ_3?sjDxwgN zS4ets&+np|bVjSq;6s*AVtU&`bvL~B7{V}&n}_{tlUTA(o7L*DwFiqDrd_p6z58xi{k!>JRQT@%?b*!(N@UbKDC5JYV11Kmp+(XYW|8>^7$XE^IOZ-6S_aIbCA_uLX@19MQ+5K>_nC zavo~Gh2PG$Er+y|0UARae0bE4^7s#>JUY@oVG^a80F%K17bk|awdI*tW{oPiHQN0y zX)&Dsn&%314I?%Rql&yLXJGNK*3B^%Mru`AuBwPgFAplj%jp#4K(Lv)=EiDCZrGO6 zpKfc*JxjjpW~WhKMQha}6Z4L{NnwZUE@;RVs8ACdc;cdu0nPogtIrVs*qRwd0ZAyF zh@`w(`%X#Z(s3lbUn!PJh0<|kyczpeNv6_qq`bfOwUYLvY1FSRF{v$;8NnIieAx05c414B+C8!#%L56J%oK!W7YS z_5V(mx83ZV__%lo@w4KPehfjEng(;%D35$8|EL%$e_HK zHXRP+ft`p6m>ze7ouER@|D8#~;mQ<}K{+y3*5l{o>s&N;pcx?#6%08LSdq%Du=;Nmi_Ew{ z+8#kCh=BpJK;HQnTtFV)DI)h(Jk{6hfaQvteNll$l1q4H`nwM)!)m#%c$m2!g zirO09BG}#rL%v*t2m34FR^*2$n}9Q_i5~7AJS4xm#Myhqhp=YwV|)!9B(fi~<(>PD zg5UteGpL!~HvZiHcQUgwaes$5|%F)smOl>Hb$+Rqj!w!Zbmu1@v zRt=y%)Yz)TDTzRHi{u#pt1=lQT*}l3I79H2b}IR+ABECdQr3p#K7--n=mx||Wnl6B z9#=dIB(j-Yp_R6$z{1)(S%7Mx4-%#5GRmB;nX-ReGO}SMRIwjsz5F+))umakCg|6un=oQlqTcduEkGV9LpKj#3*;j!{ zpAqAxG2vFi1#vIXi-!ntFpbE(%^eZHQH_%3)!|ln<3Ee+^9di@pd)hHa)binurQ**ehgSRf@W3Y?u}&ZotrjdAy2k3PDudr&NEH z_&R&7NuWAzQg2~|tiEdBLN*xbGSZ=c^S zn1mXXtP0Fe^7j5L+6%efQ9Z3Pvhe%Q!R?+&B{zIrSNX1Tiq+MEyFM@0f^$Bo=MDaa zpKng^&i%_kPh1t#YSXajoNqE^C=ufNeG@q~N`DXbP%^WzXuLT$oVY92yrLc_DWuEP zH17TX09KVChFfiG)Y?>lF}k7a*!|0K}+6lm&m zZ|YD8wBl*F^?4s{2>g5)!kB&VvdRqyJ=KGj)IQHJL2}ZcoRFZU?)S^J&!e*~|If7U zx95YU?oSUo*@|LN4QTI(ap~6UhvCxHkmKodrvc}p9;iOl+pkj#Ef zXbNRL?&Zr${&$YV4p)B9irw!kz_%;l4G`G2@sum{?l6loWK6tltO~LO-n9bnxLfss zA7ji!!DJt&ioh3aL(qqi?+Z3D=#}~N^6JI$^Y+1W>+__eR_Oi9d>@x(*5Sb=L?G8>3fa;(mfaSa)$ExI`Q;**XN1w0|NMV;mN)U?EDgf zru=ZgdRDwYy5DI$>$806vpndteCV^h=(GIjv)t>`BnCe07#@D>{un~h{+Lt*K6RjU z3j#Agk8?jSa{qqxg#%wMGq<)3KCjQd?qs6%bL+jk`>nNnn0RmK{igB$rtxgg@=j=U zXzFxmYISJpb!ZCuL*U#HH01bszY)IWf4AXisr<;i8Tfqt@%fI2!7!Qo$pA{5+PzbK zWj=HMI%Zy(#}GfBuS(bt`ha&2PoJ+3OTeG3BvAHXm~1!{vJQz(;S!@#z{i|##1`&t zce~NdCeJHFze9~bYWZgtw{~B38BQHfK)M8laUZQ`AYGMDrrK`(*Qe3DtBiNuk6Y81 zk&mff%^PY7gw-@+a@+^?=aK2UE3?gCK+4a;YT~!kJH?NayASUB(vPD29JOJM*LYf* zL&Z1dT;QpqR9kKe_)itG+Vzs(LKoe>pmHI?9WNC%McFmhqweMFCm|?hjJMLeB8ps$ zR{q`BaKryS$?r0BSMf~-``X}H#$gh)p7n7B6x|%#mdX8W%YAeHbwQ1J-nE%kY4Caf z2b=6_gY%}m-VjsOT{YPvBs%w6?UfpIAM*4c#>-E)ocWvohpV@aYwC;t$2UR-N{kTc zP8CEtr9ny&Ho8T+MOue~grtCU2uODfkrW3K0+ORUWHd+!#_#O?`F_5?$M5&g-Mx4B zo_n{Q^E$6*yzY_~KK#Hc*mpl4J@;04?^8KK+}@Si^5-J@-^6RUqAzu8X7o!=A;`Cb7FP&BBom5r1($j@SohFBq8$FW$SK&C8-+3U7wdiJG|QTI=sAa-%+xpz?V zZ#Je4;d@YDAEpami-9?~i`((NnPWEmPno;l@&4W}AL5{p?UL(WPu_815gGH-P}x1M zoSw2raU1i6h@suHd!)u^Edzg5RNNk1Kym zi)fAP6LM1dZ?bv_91sp`5-ZqIMA7RRt!czkNBbLUJ>dG(J24P&{c^dx$Ev}5c;5V+ z?a%sV{QDPb9j908*l1(4vLqruVOBNd7XK7<{FeLcajzq4^WNXf`i_6IxxaT->Ca-8 zjT#4|4OY)5f>kMuD7nVs$H_E{+#?B8}V%sXODk}#p`d6E(n({_>B(lUUDZ$fY|Lq^HE|~l`yg6UzO@hgsPfJ zdmDu3jPS|UZd!P8ZL?3x)qpOy3SoaIM*LtV4m*~qZjv5_tQ^hET!|chCH3M|i=Q%0Dz+|mCeOb~ zseWSNpR0uV-A(~t)QYTknF-C~AzMrt%Yc;OZf7K-r8`RH7d01hSHgjlK|IE|v4AGR z+3b$(gu7oWS>7MP^><%QBB{!s&91hFf|u=8x%ltMHgyJ$Vs8c>!})jGzuBA26zxUH z*mEQFd`FAUCJM!p^_hOf;Ou0YKF7>BmVb=>z8>iOBUQwJE_RpkxUJ6FySmMM{b#c+ zu;=;FOc~s$%~I|$zO0aa==;!a4KY}z#kwWn zt4o=tTC98{cvS!+nCOc1O&BB(GxqJ45US&6J%ed|Xs%oU0~%e-w!@G9^Ec#r?^U5=0r(UE)gQBV*$CBN2Yv zY46Lb+gB7996R+7S!!{6YV)z)GG<0YwV5vE9@5`stsHn8}VWZojOfWR?zn~29U zBv3bb0DR7jy*QGi9(Bc(O)0gf6jTJ)-_1O^t9X?G>X8pB;K&YH%_CUDXsjCJ2 z&|Ks+qvP_|m;w;LkC_#X>mzJdKm7?2%SkBOwV6775X@7UZWF*rR}2jkv!nb{;fC6Q z%})%cYUU{J(Fn{!xe_;Pxk(slQ>Hh9h!$69P` z>*g2H<|w(2#CUK2c<&m01*cU*$gdsW>jVvm7MU=I9E-SY^d`eD9P3pba9z1&u_NLQ z+8kC}wzC{z0Dj*RC^XL9Fi#RC805%tgN;Au{q^*&gaqC?72ZVBYosn{Kj)OK zt5I07=nkw{Iz#_UAp?5q{Z|}(dhCbo5+cNyuZAwR%gFD)bRBhqL6ls7HnaidEM%DL zmnLVA=OuPut#FI1QGcA$&!Vcy#aZk_0?AC@oKhnBgCwi9DP3a{uA-{T1l1u zS^o~R`hYKvE)0{ctqw+O*@1O1XX9H6csb7UoFdhl)c-G2ywm*Wo4TBn!;UDMT++7BPn}7!)vC z1#}+foh_G0uu@FHkTgD&QZIi#_amb;?~6sSO6_O74YKAk)_v!{M618|LZj20?l%gyd0e9p9k%|??!&RE6vDJgf%Drfx0wg24kI3a{Mqzq9*T>Er+ z39Q>(>gWIW5|wPMA9ch|zPx%cNr@xrc8G9l6UunX=AygSTEm<7>s#VRhxC{NEP->> ze1gbAM~Fi)T2U0CxBR)_qmlF8WT!DPL_lWHb&$Z)mY50pNG#(Oo9LYF2_qEwU1ut? zAhJ}AC@Wt>WGf2Q=!~SOwT(sH{S5n zt@cVYbdMG&?*4nxY)6_eUwc2^LUWe`6^U36Hnv7UYMs~BbwM07_H9y%KSb=7z66u( z>v^*WTKYj{>@#I4kl|v=)ENU}_(Qcu10qgqn}=Zk(L%2ZIJ7rN!LLz+gp+OkH|x4< zLGWk~7*f;Qw}>1c1n%Q%WDacU^(pRdPSkH)-6T>oOC!t$k9#Fq_d}osmn~U@BaL~e z%{H&7=9w$qI=koA`_CW!V=njVVYF6r$G{RV|Id2oN9&JyxC@;ect7^t*U;277}7aO z2(sm{8T?x(Nz^RKLR}r@&E`g~Tfx$w?r3u|-g}<|9Cdc~g`;nol)JFckL{2*^}>@4 zK1yV11_GPAE`!~R)N;31VPdi~JtPSUSNz4=smn(HsZ>CP`^oVbD7l~wHaq=uNT$?!=laKz6#R(A-z>mnSMbCbILdFP8tpk z6L*N6Yal^M=}JB)#7s}>219^rn$=g~dwbQg4AY_R9%N<4vK$)b^RptFVHV;g?HmG$ z9MIo(Q4F^1Xgm}u%72$O2gUqST<3Qi381)*^Wh#e3$B}4x!K43B(j!@yJ9+%NjurB zfSp5kfhj5a!W~LIO1p4NE^235Q8@!GscK5jUbq#clG;d4HEFmY^&|uWbLv?zbqpbo z9D8h$!)sfHE~t*#$frM(5IUawWG;1Ro+Lf_8QgOPbqRhA~*~rC4 zU9Eq4$Z?1+oj(UN)~=f`uLj%;EkJ(q{+D&$wzE<5aJ#0__eFm5(vzob2$96UtOOj$ z?&sl`x59l{V=oq99LPZt)zB#@yJdv9T2@W$l8L6UW zyLysJUK-(B!X-$rT;c38yKS&m)lr}viD-=Z^>3~OC(J}eP{u7eWk+-?=3)FoWMrQJ_AOQ&zOkkD;ph{+L$z-)Gb2 z`OF3ReV6EJ)x!QRWhUW7*HaT8m_A0l_MDsI=hg3L8q2_q5H!?txOaW%fl3qOp*hB@tsmhO&R4HPI5|k}wd8O;hiqP`3kI?oLzHEVv;;q6ks3)It|ExPBJ|lassQg>)%us|7yl7|M?Y2;SyoEt2Bh2m`!h$mNg;&;e#=g zb?D6!;Z3bQs6ZS|=7k^`r1%FelOK|WkeP+%!Hz_vtLzUtP3>vjzGpR`xZk71l0h`R z%054|VuC708<59<86?X&FN7G9`YP!?$%z{^1ie<&M$joBbYejb87ix2*CObAH`lNW zG2YKeBUFqY3u6i=NjBnKPDVhulRV4T#70K;&h{$U=cp&xbNQ4~bJS6Eu7ND0W3Yt$ z9eTwCdu0@@FQ0$Ha}^YAh@`XnGE|_)eLM!iTJth#_}$zq4H1GS>Yf&eRJagH_Q)Ei zlvUU7(-AVF9}H71$s*y;5{l1tzMdGW=klxtU*(WLjw8WHDn{SPZT?8Al~piXd4Qs8 z{NB83&%zbbZg7gC{W`}SsMoRpS)vsf(n=0}8Coy6P@ry2M`(2Py`Nbd^;Xn#fqdKW z6GiL5Qd9>l`4Nn2LX`XAp(2X5@t)5NO>Y`kXY}uHSuGA=TD_a|>ldp}`k&G4uiyrw z*$IXO>6D1f`w&EM_HI{L?iIcae}8K`)O7g+SYTf{6Zvlg$O5BYq4Y(lR#O_G>#hlNv4>sXx|o&M?*ffx;NAh^NX0kx`Fg z>P<7pKaq1*EYCyh3!Ot?@KNr+?FasR?bM^@4&5`3AQ@cXi!z&qET13X(vP9+3Pn9w zlz(iLlicO)4AU=i`e_(Px!M4#96jaZ0MAIQxw8d1+{5hAwqNAb9O;*hOg;&}t~(;c zVLlGIZjR!psW~q+^sNp#MM3$W>yiGkwYv$kE)K&{+@HvmFx|zOX#^`PW4e}v_$4c( zt6kyd>LeE6o1AKn*eg_{KkIGXTnWR(Rx6B|*dSdZ@;4`Eu#q3-et7H?GmSy-VqBi? zXc>T*2MeC zMLxuFUC>86ZP^?p#~mDpjJ|P_+&y)^Ql(jUA~4DS6s{n222^ZYTWu?z-D9`46*%H- zr%n>l6WzNVG|1N}C31(1QnR*oWR-?MS`^mDYB?gXq(=y&Og&X@yHB9{QH+lW2lLmA zAu(TJUlGTh`)Rhi;SRu(@+sI6;-*Xnb2=TeErvd({NprGTTh-)IQIP4FW*pvv#ZU~)Ht`_0BN6$-eSckko4h}!mk%gxr7G( z8=umC{)riqkiSnX*BBTH5tzxjuIKH=0xfs65L)4~t{lA?1ebE5S>+?XA^80>kBd|S@~LVo48 z$fytVnat=f>e*Nb=S0jjV%BGLz1Jmo2FZabYy2B`%|HmDD<7rM%`(%3hAy8L;+ zZ}-FKhZY|iUiXIs0)qnE?a)^xFRKCAjDF4A^A<(ZVwLhO_PZ(Bl;ZRoCtdsVk4YYkA>xFTlt^k;gXW8~@nM_=5V@9ZN{9*0}xUEtIBjn**(KMc^=h7`kjDtwqo~ zSfhgaebzqT{Bl;U-=G9!%zO-F}-zYw&l#LtNMOQ?f|o{UZP#gedF!SQO1!AJedbr7=0X5GCL#KBjHxV-f=s z{9_wp{cP?Htk!FI?s65iWVB?2y!%6no>8RiE4a@!w$f?Zm%J*asyTLS`CJ?z$uWZ4 z{Km6X9J=JpZ}SwmQ1}nY^HnKCijdT0#ZpSxS%Df}-8^nCz_}pND9uK_Pk6!r;aZvtdb-wt&+2WA!%eq39TQDO5u9uJiN zYyFH%8VnX8Rw9$3>r7^O|a@FvLd!+z&F*5eVO<=s!2Cw z2NrdvWVC%d(%)+PjDS<3NP$xBKCjAx&sQhat1kE;nC~m100|Q>rW0+y0=C}$NaI`) zm+_-IM5i+oV=g<#i4|L`b+ZXEJ%(5}+#NcZp6!fgNuQ`d^U|n-j3;KIZ)%6nnla$f z*tKOCTBqJSEzbAn9-ycm4k|zP@}vX(&?UriBrhDWknWxy!-|eQjghjffCqD~|NQ*5 zxqVzgcL+7C)_ETOkGaL*iP$Hp-&Z*v4YDdbJ{Yh=fJRdia{hj3qyib*8mpMO=z?M- z;y7h7=RWavKo}Y)-VcMO^zq}zP7r)%0k%!;gJAhx|72|Tl@ysS=XL<_9c&U&oD~h-9g)~J0TFK#yk#JfY6V#(7C{!|LQ;nw|9ZTTY z1aSh-a6&+KCpW}WdX&S0ne5v_6Fev@|L>4R+Y`e4Rh|eB{_NR2t{7SAeTvF!rf|#7 zcr;^h_2eYT<$}BEwO9mg2>Er+_@eMT+H$BX@W~1{_3`}7VPkm?-EXnOtz$-893Z95 z&aWO-!MOYskBRi3{fTgk^k@4~K0|fbJ}IJxr&lg&2iKHty0yoC;{2JFgg|l~?IP@s z^CnDgZW%u~*^=ZeEOk3c$>lGh{08@07q|$-Opj5D6I;(xC628tt&M!^vHhF7^1CPH z)Fg)?oU(%P={d)?_KM2Tsys{6*bn{{d5?~I@gS;2l)+q59R0&P?8LcEl8!2SNdHc< zc3Tbw2P@Wa!onND-CfV_2NSN9chd9TAO^{v=yU}F;wg6y3jo2}oCt(&PcjyzM4p~9 z!aaedYqVJk5*tdJbP#6Bc52==Ziy1E&cmgf!d*V>$59c46?q;~uF}umeLHi6-=ySQ zp7g6XT}BB6u+0cUvR0%OS@~~BmFvVFu}>59XzSp(tZ^D_MHGS7tuAzsv|+FDCd^rtCusQ1Ydeoc`ANGZF89?P^O>9MLkOal#<0)EsFR z_I4_Vc=Eg`-#lfA-Ou=>Q+d)Upu_UB)5IQ^M}l7Kdz?>#*45$NVD)Sn@MB^ihRmUl z2L`}28uG&Ylw}ZojC{lqMNRG9(D-m8rMoI)x(-iXQCPE#%f#D-=%Mq3ikE z5NPh~lQC`>VlK>nnBuSdC{q=L!)dnBY8NZa2Dz$n{k_6mJ9r3DJzrtzrOL%__PLhk z*lEO1B!HC{e-jq)Gl9bkO%H$+<4X_4*)8pC1N+AcW`1(seM}R#r7-lO-EX}SDzZm- z9)w^@r#fU@-L_L2z>Qhle`?9Ka#YC^qAI~Iw3q?T#V?uIvt)mV?s3adLO42z|58mk zgZr7GpJ4)Fda$v&UQ$63WP=WohvJ+6xq zA`nge^W^4y$_oM%ZF+}&14y#G4*5(b1t~QX&o5i$)}BcdX~MT=r*m57Zbd+Do|{#g zuOp?2vN#6<0ze9#nq{HhnIhLG?Fv{KpX72j*c5D|3HF5MbM`gla_FF{OA1NF)P0uq zXq}s1Tl9r`b!xIpa-o0?dm-o24_?V$I5^S);B>SZ1}zcr^|<_&HlD{W1SmO#&| zPy8yl3_wooYD>a?x&si<3;A)#w6{iy*ZNx^2`h%o$JZz|50UpE?A@hTdcciyyjALE zh9F&=w$ligXk|7aQsqy-;m^0bXFs}GT*FMj$fF3T}%7CElgdBbhu zdE*sdaXEFx4^??zdrhS56fiu4H)_jr%{s>Izk`+R+5YhlV_?NRNIU=293vOfVzX7O z9H<-uJC)vm>oletmbaT^0!)1*4K8LA%8BrgzY75GT`~@b_rsQe3(X3fw0C&KwH^&HIUY8I4K7+^*m*EAdZd{jJOsnLlPg6_<+4`G?eE7hAx>vuPMaFJq&>9YD zo_xYszo1(ZE7Mz^9RA?V#c}U#T>BKd#HSV;(D0K}j$0;Q&m@7nT!n^xTJL>R+gM?U zZgq&>oWp&(f~ZTz$Hmha1(JmMbNBMhb`P3_`JYFni018C38>e4-~9Z8L;L>U_OvJA ztKY6SCdtZ2OwV%x5r=UukeFn1hDRPZ#tN_t6{-8sDZu*ybH0ByhksjKq8&}-~lwM8spM$ z{O_t$TEd1o)U5t5hK_19>!$VghbM4&fLQjK&}~}^&(komP28|kdzP9iSYvzuxFNC2 zQ9B1Fb`T88Ojd#P=~LA&)~+5V?g3^h7fYXF$64+G?2q7WC#!nXPt4RxE4I!#uV5~x zB1Iqi^rX2h4IZa5Q+t>pMR8z~OOu0O)*VHDU4d=>P`Eqk3|VHLKZZ?8D4NHavH=lv z@Pd)5S_DW8vroK9sP+ld3+A?3&5K3XFGjawRx8Jx#3L}~Rx;Tmjze$y0L{-*WxODh zUEAs_V;8XfwC8AYE9RtfjA7fXSQOo)=ius*Jv6ll4(RZeS@j1898PXE;027Q;Ec+} zup;o{7OaOIU4wE~fyPlE^@?lB;n-Ty=2wy1h3Ruj7?&BdVjmh0vlrV>XXd2mn;!qZ z5?Md!=v}m2dF%_n?nutr_e{eMoBNAEIYZCqrzK(JHkDZiv};|OA%B~hbBIKsWL269 zpXrr?0?KznCtDKuo!bvEP_K4$0HDJlF)np;&q<=1Dtp`500WjS9ww+k3|4aJ!gzzs6Xe=rMTRay z6_9{rY^V>k7DE9r+(>B8#9b)hu&($E0!X%c_q`wj2Uf*o$!u;|5!xQJU!yTlH1-h+4!9lPE}@zzq(K}_+sNk$ zSqZilFD@J|bs#^trrCV;7fHXA}YcE6iB;If1q;ZO$ zMho|}#g|BgFJ&_bI>^R(>Hm@On08vBROJ_}T~fn@Yl!LOa#)Ih5&V5aS)Bti3&(zT z3tao<+j`U9ut)?S)LUOWo$8nO`pR|Df6Ms>yR+SI-XrD-IPV)szE`XhPhQ?;bYpN+ zHBJg3Z7Q8rXSdN^{;*QyE)H1RSnpXEIUC*04;~Jgd}b;EyCF-YE?0!xWvzl%#3qu9 z`5670(@yjSj&wQVUbAT$`^Y(q=Kx?~I8R2@H|ZK*W){lsrQnD|vTL^XU`VJu zGW!S>TPbzgp@0jpyU4&=jNd9a1aM%|Y*y~R1WjfK-48b0{1xD*nozeS-w6I!-44IB zpvI|;igMWK3V%4w#5@`zCrpsbIQi_cv&$=B&f!YVSs3NywiOIBVX-20+VF^_N(8LS z+Q(-+9J3G`-PlTYHg@F<>Y@is2jZN1G*1I?wS$<3qNfvq9RKmV72%55B(^%h!t$6Ae)`!ABoe`H-n8)1 z)(vsaz9*55yW-W`E|y%%8Q4~JjFa+%t+^>kR6U59F7f13=&0k+J{HxiYV6w=PK4)y zG*&NSu27}Qg18g>XGB2}gJs)tG5yz?6X%@8B5RqfeZMVzQp06#)zYXeGNn2Vx5SZZ zKuSBIO~v(mvio>f50C?Q<9#0)V!ePDqpRRu)SB~kb+bkmx)$@{E?CKHbMo$9voynE zav<{;esa4JFg(l8wN|iWn4QXJ-ulV2I_j=+Mf5nErP{lB%NS$d!?f9QAQx_kG#$$+ zo1NeS!dfNY;_liJ8J3O3z-u1*W{MCjJj^zTL2bGvLv0aDB27k6%(Se()ZQ(;E5LW* zM{C%|k!K`tw=uALa1JYelv9mFL}97hKnIQ@bE%_$Z&5{~mDC0c@R-_BgO%u22{0Lx3X?8~Z*u9)0N$uKvvDppj9-Vq#I=Kmp&NIj;NE zrR6j(uDZQ&OJn6GnCMcjaaY{Ge9Y_HeU;m+e)z>BqXWgRj5otd{WuvxP2;3r)~dyz zpM?)Ec%cL8R=*VQOR@Q{*g1#nMqyifem*jy7XWdl@AR`mZAm|ZOtV$p!iG5N_~b*? zMJVRiMc~PaeaQTI1=l9w17p$i1}@N;n9M>;{AXP+H=@o$`}Fb9IF4XtMzHu0(}*3Cco4j2%;sMw z{`5TNtdY;s&JGvVG`JDRw?HDHA%EC@#i+jfuj`vPuW+uy&6R{xnv2vP^*=s*OWbcC zrv=ig*6E|ohXGcO(I|rkQ{_R$&z&aX%1oC>mPD*-Yr4E`uNxiQQwbrDo;ttf<$u4c zT?=@)51l8zu<1r&5tQ75;h!&5W#_&x!SuuMrXEeF=E)2TnpB0lt-E4}GN4HCYC7bS(oq zhx6S35nV8_PI-R|bs?|4@OJHNUpX$V>>_0H0eP)NwQCf;MH?ek}OcH){75)M>^UjQ7HQt|b zJ?m8eE+|)HVq1CC`6M5xv%z)GJ?RgoMMWlWJN>lBe1nL@*T)@fRHCJEOwxd>mNO#Y z3#o>(Tl#Fx(m)Q;bRk$G_V_3B2rZ^KAHOLD_qY`+<|Ni}a0ihbj_>iJc#W^bi-Ux77767w@N9K^e>J-W5%e}n) zSn1(r%j1jD!$j`lKqN!qMCQjM`) z&6gtBGm-G|oIA51M~aQxfK|~vJF`6{Vu`EEO>@J?t?~+$d-*d!@Tg!KJ?>-ORL$cU z0ooC-cJl~y3rccYg&rzsUYs(5{#)=V_6i((idWW3Lx(ve(Hwx_Za|=Fc#llFd$53A zFTDrYr*A<}ka!uq0A%<(-%w$pn?LpSOD=Cl=euv1U|084W?jZ}Q82{BS;{p%ul7<56Tjq2&llcT3=<1^!D;Z5M>sO!$&TnQxXsgNQ;T06SMSV-%zXXn zB56&;Se^~mxEVy@4Inf$7NgA8~J3+Ijtz`UF7*}Hlt2ma7qbqU7sx)KZ4HXbs zfA^V}al4A1t;610iC>dcO3nXi@bcz*%m!i)cI22o+?|ATLOG1ts`vX|n^Xl}kz>I%5*Jvy- zO)s(>t8GvopEq+gQTIy|_|99{-4EumG}xn*OMFf0GBe#%tI$jLrYNW8wOR5s)=7FG z-0p$#m)rf^r4j*ApZE&FiC`R}-*iz# zZ`>{d>c}9=bHd?T4O6y!fD??;2eX(Ju1GdLUijJj>r+@F=wH^^!-}pD4%5S$L&>Cc5q5a zBr&&p=ZE+K6o&kxd8l4qzO<+6HN|{iRz;!2{6JdnD$S=KW%x&Vh5A7EGfKzv##&6e ztB}YC#J=rUx%G~9W;F7qG1J#|xQKv5&Cxp&%sak!$ms!2LlQ;~uz>&LQqX?ob^~&x zoBB*r-SPwB0;`)E$7fgwo1^oo(JQAfhRs3->Y=%j(?kF zKk8NcRf!Z3HCKnOwY^WG=i2{eZS@-Riys`VP>>alw}>r3evshkPu6p~e>KEeec&(5 z+t)C4(0hB|>F-;qms+Ly?I%3XfccX^Foc9cwSsZ3cPOX!+lv@jT-qCQ6DAjZmoda3A=q zNdkS8tRy?Ck`NRF=*134wi<+gr`!Gfww@kA$j7#ojfrGt$ZQwGK7q9lRuyoGcPf9F zy^D;VEpwm!at;oPwGCRkh zG*seAz&mQ-)mSibh|azOp{_1HV&(zzpl;4<0-zeCI=oi|pum<45PFm5n<$gjCT+*3 z!GM1IV@C>*abfe-nFNs6XVphnx}pAm#=g=cBm-y)=m;FTYg}e}c;f%uj1DXz@cMW)AC0 zXWUCJBK$6881Rlg;rs!P^^_<+K;2EGd{U2uCg^lczdhDBmZ-ik<0Sy*t=ok{B$5fk zjF<}8+`mkgmtHcj$9}$1KvanHdRY`doJ;+(*Ao1R8bQU<^POOi^|2_B zvAw$NuQwXe<70#h1}yzO$Fu5%X~HL)^$z6+DB36ohZqE;CVwm<4-n5EVb1#mOSB&F zTU=Nlk2a#77S@_-|6oIB@-uYl`IW4E46o2SQD z$C#iTyV@$e3Zz=d1O6G{XLwvze^N!FH?ny%k1o%8sRCE59zLzFV*C123L>7oU z1+;E&euzZX_cwU5etbK7v5Y#dDt*N|_3krB#46+QCOjUz3GaV%Jv^UXhXI{FDGHeR z`dv3k%Qq{&!_OvK-#?wc&G?jSPFF~pEKU3^A4}Fo!kqp7RqD~5tCHDQ5;SPe?DIG% zrT+r6)FmVK{u+#mdXjmcNcu_`#wDMbSb4?u=KVNBfO+{-nadRcJhUT=d{a7t2_dgL z&WuDyQL-0Kr?Sk-fz;%(&aN1`Hc}WOP|*lf(8!8M&97iis%ua8fO4*{XRNg13J4(B zFE=ahAZO2Do&|}@`_;Qj&cRwOV*sp%r0;QiC&Ngc^cu92R#i1w2YvG`aDRT0xqvL? z(D7YC2mmpF`W%u-@`$XV)N^q1iD}j~A%69z7|EQ*s)%SpOc>ak!MAz(bPVaVy+e8%zT{j)zatXaR>*{PkO%R{INAogsCLs(Wa4KD< z>oyCVFP9aD_|BJpulT>1`R3k?I1dTf-Dhtsdl zuTTkY+X&!mbwkL5}2Kz4i(dyV}j|O%yNy z{q&yLl=Zet0sp{R(@(6m7y2d-C@DxAF8^S<)Bpy|m!3H&)3M97LvN){0aLgNKlsE( zl`C3CM6U15!GM{%)vQA#zjUj)!yf{qmv_eHa+*cn8&hhM*Z)U*ab=myqZAp($MWek z*`*bD-48T-=V8gV%OJ6o+A0$WF6{)U;KsO{{#A~57EVyKMy5FjNN8tt<4-?Aivmau zTns+~0;%2<1Ci;iyEdeT=fNim;1SJyiYDyboiG;Irh|a4kM}xINnwBdzy<(m z$s5}MZW2FaLQkstpDld;`;7r<8vQ3lUZ`VqWw&~VV;xqLf20*DGeidhR>V5zQpF>U zIN-Cr%9a`rPn&zN%0KqmUPLKsvO^hEX z1vENMQ*_N%LT?91?52=Rwp=Ls5xHjK#SYu0S6grZ)U+rdH%|jLXYfX=QsAbY6Zg=g zbnSEF4YY16ugiAN+$3zkz*Xz%-m$@kkl`H$a{TRF$ZVQsE%C4JAm{KIdMJS7dJ>7o z-N;=Z5xfDNsQFC8fOUBk+?6kD=?3>XfDUQxe0oGI5n=tq6Yt!q3esS`+*`t3wP z*PS?pyHV`wL&%;-wgTbY%U8H>QvF79ZiC$@gm=jTCEef61H_+zRM)sC9!-RWFtOo1 zT2{H}pIISGl|V1bJ~Q5M81lb~kG8sP*n|NK@aK7c_LjOrWb8|{YA^hjL+f$rqF0b2 zH9Q?T(sovOI9y%W;eObueh~O($=bXns@kF0bbC$?;?UVGNkhhg?N z>dN3t+r1t~itU$UJ^cTMWtj#zo68l5VQnl4he?x$KfkbKYie^@IrX{lm zrn{l7ry)Hp=UOczVDKecM*3++vtm4+6d){pspa{itVA=(An!LD8YAg*d;2Jrflbs( z9F(Q77cvAfp#UZKQ7(u{5t~_0o$d0x;+p63qp705!l#@mN&<7pf((mF9hG*2GBvfC&qj_1d$#ASi<$Nvsf0BX8=NJFI)sR{*17c4IegoN>jXt{m`QjZ95X-)mim-b2SqtEJCY$_ScP2wcmu#EHpf&>S*shh(XuBri0P-cKTGx+<=V|Y^s56E?GQCo zTGd-nwiV0Ka!6)^SQ_aHL1Z>~Qb?W?(+u#)9^W{uAN^}>QTpS9;;e)1_GPQT?+cY& zy5p>mRnLflqhQE~ud<{~12{quvRS~=A>J5I1{467T;jzE_uHzP2FD7d&r9TOfWId- zgyQ!@*f)b7xm)xqVkXV?Lr5$kT`~WftDBYli zPd0>bw|@Gjs!&`u>qT37M5P7_Fis{sCeC-KkS%hGaOzZ&6LHG2zE??z6Y<5ez9t#} z{0SiP$TXSJ129$PZj@Aj`Y2I2bJ|G*lF%guyhqI$q@tAL;!+9zR+(seD(oI``DBrV z5B>8&Ljgl^4tSM9EeA#!zyXW%0=zt{18mD7*50&K`z|K*=Ql5Zn$ILK<|f0Fa?-OR zA8?wGr1z3P7Nf$B6|&#$w^E(3>2bi7@f=HQksMo=NmnnT*^pH&0T^55<)Py$6?3>-cGRvH$lc8lsCmc)dsti9JKeeA>f{?Dg%(vj)HUSgY= z)AB!JH7Y{#c54GE5&r3i-TqJ4G}s)HuoFHHf=&YeQl{Laa&y8dpf>fxCW$iLbLJWtCFhOi_4${D@bLgsypk#a`ES&s<_I?boz z?*Qj7r4alJ^`AKvm_hwx<4Sv9xw_IMUR}mH*jqSDl>@g~wnwCA@M%g`^Ve;e+;gt3 z!|Bu)Lo#FA(nv!1C3#CvJ7WFY$v|SzJ5*WL@L!b;~PaGxt z5Xtl!6Z;T0VAoDY)_}@uHx3x*W(e_YgkKCL#D?5T>q!U*mT4D+pyF+f0-PYB0n|jK zZ|GO|fcW`~cFoev=OeDK&)d@neh#b58OfVWs0XLRAF?!PP`%m@^ASXyI)1)sOU->B+0-n2$~lD;WEa#xyl8A)f#X3ID5gZG2{z`|fo%ewZLI7TtKl8ik; zAGjWZPfb18*IfFaRaXm49#e}qbH>AKYWf>r04GC!c?xU5u!h-9=#l<2K~%81^i?Rq zv`cGfLiNDpG4QlS9TnqJKRV4$3ZoRST5sP~tdQ}$Gn?^}s(dJ9SPxiFhvr8?xuY?U z`wSXSt5|N+14Bi@Z_AEC28ja5(L&Ain}oC0N&)=9{Xeu)=uYGR&`P;XXHTC_CQ>}0 z-RXNmZ&mL)94kxaLuP)&-VQCl!ICN{JtD! z6z6MzF0I(mvVKf+0d}Z>lXtsVGjws2>jRHzcRdzDP&A+?LD>0DZWk zK z(FyHm#~d8G^W{%xWdZ6d+GQdB&roFIBeTzAF%KLvMIPmReoxl2WZ8BkMxAu;V_=m{7EJi)oD}z z=ilvxL3K;nD1ZY$S>Dz@x}l?KJa%SklKj;~SvjM8KjrSJ9w?C( zaH{raz-uN>4?Z#BHOG0M^Bxk^c^V@=A(1KKq(%NVR-!p0zfd zBDdKfrl^@Dyax#v{Hl{sQ{=TPwl0m#E+ z_}HK1Vk0~)CI7kxrv(GkuKZDzHZb9M^AY`3U7UIibGfqrR08ja6FZJ`UUgA*wVeIW z#;XB8L~k*x;cdJIc&$<%m|PkL?A0`b_im80D`?<-y;$PozfcTTdW;qFpB=8loU6_J z*R3_1nM>bmi=xL*tSU8ZDt>~HOyrBqC13?kRDoYRs*BJ4cA1 zP$(E5NpBYRxa{8*uz2Df8?bLGU{Q1zP??u@&IzTYQCJK&1z#9u8kwZSqN(}CXhSET zBK~EVSg_4kZk#nM*o9@imA_GrM?b^Q&46EFy8h!Nux{5oys4xFDX^te4KOo_RpZS} zc>2dxR5}`%m)g6t4}}(wTrBBn43zR+=l@r%Qx*XObX^HJl)}X2hCK$jT9YP}9*!1F zJIi?~^!7+70zZNuaID(^zX9;8o2#6ja4eti%$H*mgk1n?0zw3q)fiuCmodQ+oPdAK z!^PWI6r_VG_fjcu_mSpDRZ*QEH1HPx#Bvbcq$e|EF=PlpG?smfNCNX?g$%t7R$J%{ zxMeQKalW?GPCVdI4_=0Y-pwHK6vQvBZBh(MZ$Lf7q*J2d2dy9tWA9f-OfIcUtIlqy zOEXO9Bm>1LTn$1zN5g&C(1Eb=cILU>Xo{+p7Hz6TQKRhoKCli`{EbkitM);39tLP@%+Ly6=T zTRtvc=c2Ozf2exPs5ZJbTo@~^#frO2i#x@kxVt+9r??b-uu|OJ-Q7ZQhho9qNpUOM zGkw2rowd#%l1wtPn3;Xw`?9HmL$*wA!KRGw0hEEknbaaP@VWh7{~2>{fBAyteVAu= z{3+^Gky;|te-@3~sBn5! zy%`Z^Iw!o zDx$e$LQ%`9M~kXLyB7dB)42tYXkZxdj{T<%a0D_vTt$(6FGC_hk|E@@RQ+cLo3ix4 zowxqu90J_8ks!ZVENs_125{@u@KR#>Jux82sVEELrzj^aA*3Ul=DBa(DYwxa*$j3# zOoTNZ%DugCGX>NE=st)dfT|d8AMp!<+^j9cxtOs{mKt!^x(DtFjJVCv0q!?Nu0Y(; zvjXJ!T?cDk;J$PPD}DOMf&83@0zA8LbRc;A7eIjkc=G>8ftc2C0u%_#{an29XKWt; zgb@GW*2E6Si<=jtP&6}$!H?Tf`A*~WKU_ka2c|yb10Y5Qw9JG<|3iR*I?fv+Ue^Kx zR9!$Eou)(YCF0^!0!4yhulq^{pwfJqzURS?M8J>Le><}Rur|;M0I(wd%R$5yJ5cdCRsj-GNklmOorhW)w4X{m6K;D+5HtZ~CuTKXGn#hLlrDIN-j;-D}_X*@^d7O61Z9 zHd2@^6gv1!Ij$!t*InoMdKG3dt|6xkA33AuxQ6fJJDqy;ezSoXz$4@<9VNrKGZ^Lo zPsm(kA~6sr6I)IvSPED?7G!dSfY}AW|93kAW-^IPzZoQZS)7JeJtcy(V95RwsWdR| zc)$W7GK&I$m!$C_5s61qBJ+$4xMsU$dI^D9{K*3rb@i3=>L2;)IwM6`Dy2gMfWV2j z;f#!tWgD1bDnch`DQL#XxGz7{Xqk$Zhxu;V?Eq-UkA&NwG~ zAly*iLjjE|R{LEFgy`E#hVk4Wt$yHBLvxIDn7UWioNxdz2X(YVz{kMe@+l(nL0miL zAd=stE8Q1Rg7Ta!Tkj_I`@3!)Nu%S=e{CGM=WPH)k09%yXOU52wM`Nj6cD5|*AIFs zcWs3b^-(1;C;W?bPs{_kGH9)($aDf88T4Z@%|VsYY|6i*?d~9L$@`xPCdoPI_jR%1 zd4+72GjJDuFHF@1>@@TytiajpTxAZ9eCSin;sgv8tsHk@Jm8k^b(SFOH+u%oW(CR;KO*1 zq@oHQOaP6iJN3)|+5&+#9RQ~&7O=_vpWX2{F5UkcI4$shgCI@Cl&ysfUry9Tpe*>P zHm%zN`6N=0j_+wVTk{`S-~dzua5}PkMMp6K;`bv(v?AESqaXi}Es%?rEV%@rb>sDk z8C!E7hq{l`_436htPKunf=Xp)#;BRSD9udrlB5*@HPRlT!}RSdHI@0|8`yM}&A{b5fRdV&eB^**Zb2wgZ9aG}r&JHJ%*BU^ z2BK_^ZZm9I0m<0WOGY{UEyrqQ4nw7(KQBgrrg7eU&9^%r=To==0f{aP0dXzDCQSRZ zAoI}5+AmT;cr!a&VgSpQD-#Io!9fRC?9DuevHt-LR=ZxIvNQfYfL*8E{KKvZ)u^}S zYis|L{^_$M^$VU*yyydWgW2Bfj}E~Qz-lp@18NF#a{!EoxiYwnfVOGg()R-HXEY%I zn9J9)Z%705Uw9V)v}bl26Tli=m)15&0}NOOG7vQ&2ZH-Y^ubM)2mmNQ{f^?;b5qX1 zk=Ez46d=$+J^Oan5e24t8DF-I4$#ZfW&=>Y>5wvi&KV59bdo{{z$pScDPTC-`-jCx z0bEze8CwW_oie8`VB*APs;s#V!aMS?0SwJRyh4qaNdA*+rVbgvj`TMJG!~Fz;R~z` zgLpWE8dVj~ z11Pw8w8E7Z(a0;je}x3ct zCycuUa$Mj&T0Q}eHULReReXN4{bdW3)inyphc#?K1zLmkB;qZ}f$!r8$gd#|Xo?#^ zb5xjG9uor`(FV{TInJMv0Q%)pGHF`9xYbUK(V~vr8V9j|^cl47-&n}-uh5xI`B>Qg zlOX{kq4(OqzRDlK>u`=h^I$4qR9G9N(E6sBg$zUu+^~xeE{3yIu#-|7Tde*orKK3K zKo{Fw6y$Bv3(14FycyO3n2FSXwrkixK7oHwWEI-~#7aN@dG!7bu@uLo();sw5u)-t zB`q;R?oIvj-xS51Pt_JvNdXqQRgp3@28L-_rtETCtysJW7Vr`kZ}Z)XqT=BC?iyRFNZN8`NXww^*^Afmn)V3 zyXIQq#WoKfvOq+__1Z+zD>L=cZ8nzV*9yQoo{2ZX=IPYb{nz)7-Ea$lE~Ck2E^xO-7QYB6u|XEKN$!st6^4k-S$Qq%ec zfDC>v(iQ~hcZs)WfjMpIxRv=oo`38Ahw5)UX50+WX#qz=D4>)vID&b^TIkUEcg`<3 zcpg3F{%11GsSC$Y0z_%u!vIQEy+Wdx3ADv>?(F?`(m7Po0KrNjV;c|@3Bm>X;%PEb z)4A3EA}4b0GlkB0yjDTy%}eWctz>tDM=f^$`m5tcrDCmMnUU)7jaf(Ex?Ox!GJl@T zO$vXJEE&`}2lcyLIVoKYy9@BY60z~c(KnBu+F&3jwDcj0rayC!tVe|ur*8In@ZVG^ zpzGg-1N{BJm1;&FE_x>S#^YaWp8?hr(et>z`}=yx_wDHcNc!~ZeeOQY`|+nKS?BF- zx6-RN?H2^SzfSbRbwk=!?dX_L^NYNmEMjeV6L;44T(7#y+xj0LB=RTEZ34u|MJVdQ zf6w@id$I}jh@i|vEr?ze^X_Cr?T0MqfihqgJk|Zj%X!R68^b%}BL%A*r;IQyy6O)~ z@$!)pIM*JFNR$3R5Sf}AeCx=eTw8OeIC<0i?*<$uzV6?B#lBRek|c90-|%N`Cgj51 z+Zk;*o_#e%dtg5G{mLTS@Pi(UuRSh2`lzhd^BeZ3K8Mu_rfpwS*3Br1hI5SRU7{wD z$vs4!Zp21=4~j}}Z@Dw$exoflBc*mrVuL3jAlAm<8m!-zpeWhxIp8gALJKBE=rF3)lzg zO!xcax=9>J3nz28jO-kY`X5t#mwH>agiP>*1OjW%sw={TP-j#yI`TPKbewj+ET~t( zK;^QYcU%R_D(p;>RzIuqy{*lJ){?9v)P4LTQCI3@2p#B73GXnR*K8Q(sygpUV5mds z+xx*Sdv)e%ntP?x<0~EI==konU&Nwy05m_O<}xqh?nSj0t6rpt~YGI6-1$d z%3frAU_n&q#w$8*+~PnrsNH+S)jIU!Naql975axWc1uKwEA-zt zj3?jbo53vdLIa z=j3a14xt}8>N*ec@>obO{D`LA$2%2o8hpVV_7nZ5!bOUfm>;7VPyA;rrLK9%q%u9) zj`UL6pZx6--p%K+y}I~sS}@BQJfo<58LAU#EZTsGERQ%-uR40tn%7dUIv(!WjaxP| z*ezZ4-5Qh^QM(n2n9Q~C;mmVe>l{!)4T5M3jV!v$g`po1an4T8%7kkSZ~kT}TF~;&n{1D>%Z=CG znp&g%yO*tpdQbv27j24wD$D-tGq^-U!Fnn>ZtJk!_s4(IQ}zc}Yl04Pki3=c()+=$ zGPHg7rq)`y7Rwa1YZqt1l=B+ytQmd4f7&3rWs^{v#Zy1}5zfd_z?P|$`#-l)J0{4< zQcKQn5w>u;vXXSOJhHi@qOy|mrVjFksLr2k(mnff8m)MsXUFow>9bUG&jRZP>EVl1 zbHuOrbRW%l+VGP0=cJ7#i~yOU_%FI%QXJNruR)*fde#@-iu^^`L*wf^C1Fy z@8?G;r$@zFxRK#p$xBHDt=`WvgjQEe9#RS|jGTfdHTlo(>?u!{YPC3|NoF2+28FGa zOOv#8Oz5OCTlhCd;~iO{f`X9I&jDDH_4THDzzrd;Gbb@djP` zATh2HGWSS=pYGNY`aPBmYJiOki`8(|i0f#3 z{%Liov~osVej9sVo2yiN*AmwHjVAKkF2>D2RkZYT7zOS2gwvu6= zp7nbj)n0BW)EA#J*$Zo!nVd&o`n-gs1+h6&p90!#|3l?vC}WT(q(8t_wwyvS_X# zsFk~U5J1V9T+RGQ9xsH?x7W?Zr|yaM3SVS3epW!ij3=&zRDj&b>Cf@ZE998lqXu1% zVt{F?z31MHo6jTcZWo`>Ru)1Wmj1)-)o7K zukHHQ#ois5G2fH9g&BLAC!6@b0rq47%c!>P$mM>XIXEv%+`qHA5 zefTOMFL`!2&s9v|VP%BcxnhMxAx0L(Cr$Rb_}ECN3irOYaB867NZ1hyLK1`!eJ7IFr^c5?^AEQRlCowl0mQ?cjC(xky+3F^CtK@js!HZd zkx~zDBYtV4Y9*e;?Eh}$U#qGB%97JgqD`!;D;qEdC+&|vR8mlaO3PZ&;)zmMzKHc| zLx$UW;)+`YR7oDfj>;mRc%;?SAHgbh7dl2aT=A2bm+p(kUz+~*q*3V4Kq(H71RgTy zsH_~FsL;$eCUR@ibls|dwhD+Eaalwrx8!AybNvN;&@-ISqLenNb?Wr3ww4G z<&tJR$6M~GBA^Z1#-#!T)jqX~1kH~#({&qM3z|)({Nz&(KD9@l!H=MVbAcwvQq@6+ zsk{CslZUk>(A%}1aF(U^_Dyz+Cbuu`)bB!4P9zdnVcI&L%^T3c8^-k-DfWnl!m7u` zqLFr8-52R8{hL*lFH62F9XS@F+@B}xL1!G<@8I=9ZM3IWA81bhS8$9esu=!|Drigo z{X<#us4cR;@YL<^_fGX2t3XTO;kaOxiRJD~4}+*3Cf-^FnjenGN~`f>(6P49;=9#R z?yV18y^ZzxM_0|xGp&oX)#p1EXrP%ATi~HvkYOP3(q?Ommd-P9x`*md{K?P}+{oRu zd|~lK;rK;j;)s}KH65QGp*0#`V9>&cnd$D9`G(sv-r9S{C|_cT+1^Y`r;n0h-gTH* z-om=hRGh{fcv|@sSX#BQu5i<2y-0-QtE@XhLXo(nXMmNF5wIHX5%%1K*9Jk*Kf%W_ znrp!dz{Cp-Iu=eZ4fortm8X$0G&YBh2HAk>5VUC!$~H*DV>dQDRBu zaQR#slWc+NDd$J$lyeLSz1nc7GV1 zKFE`N+`j~(8A=jzeY+lOTiNJ&Z_;fD;T2yo#u^VLs#yJ)5Y@CebDNzib^d)t<_Ck@ zO>C~z6zUDu0n>>;lVRe*ivv`*W^L7y-TsSmXsso?&ifWiwn&lL+=}@T{)=J$(3gex z8=={j`cL}?%o+*G&#k-=Bx<@e*HOW5G@o0ib{E{+CLO%24eo?(YKD1csi%%;8y(L` zaBCEdNpy0r!+y=M2^L-?LOMdU;=9hgyPIkQv9%)}q5DuGax-!LHd$;U$@SgV)}a|b zGU+bv*=QqBFV;}=lvCL;%@p%86Bgw4TcdM_3uL3sYV!lses}~4iYIxM%G6Bf;oDqSrG}uVQ(0&`Q%tP+?bN~aAd9J1E0dv=Y9iJD ze)9ANQPC?FKVaFzN7VQvQ3l!a z(ts!vH}u&;!i9w-Ub0tcb6dRv&@T-Ydd>soUYoD>^o7F6Ik}7z>y@Xx<@Id{wHKbc z>f^ku^H_3ti&Z|$jQ_byiT9tlB(VS&SbHlAtuBec;N|JzHad7A-l@*@xEghH$ScpZ zEHtG~)^0TTvN_FL9k~ao%_!MHvkz>V1{@6pAKp*#%|97N+V^ymof@P2o%UC*?cCaz zI{GXe3HVGQP^_&6P|rvnx>wel4qaXKb!xEZKFpjJCCJvbL|n%kLSj*{>^P#@ZdRux zI+83PH1eyv$+F?|Dyw*4 zzF+c^$S<=WJE^FZe3{n9SkcI#nNqmVRsQxhz}4hQEX;=75O^q!SBlh{pKs;W`S{oy z&x7Wk18&s^zTDhXVh79F^X%Nyo*YTt0N+X$+>m3Oyf<4#H&8lt!0YyG_S-ST=;|W= zbX`vFsh|rp;v?o^?y1908A>5mmtUo;Csn2`7H}M7FhX1F`DKC;tvxZ?zi%~{Hj8wn zNg55(?Cun47S7WXqk#=YPQ%Y?@c2;rR?=fhsVwdXA6H}wV~c1dAr+R{UyDHma*!@s zu6hvB-XF5;CKhcpvY-5C>DUpFi+j#SR8|b787eDox{sjutqiz>jjHa!8F97}&a391 z3Lr$aGmkZIHrP9bOLXL-#7KBd=iL{cYwsPuEv_cp2pzpGIPSU}9&SF=aToE}X~r}2 zY>^bNQ5f}^(x;q4U!*(D_MZOzJ)Gss+VBmcVFbHzdu#IJ>fUBb;o{z|1x(yHhrjlsz->2PBXJJU@$`W!YcNJl_KbUQ; z6#V8w54O3Wg7AKU9MzIdB7BQ3H%V$55nOc%^uuYM^lI2jo2d6tvzGb<`Y!$D_-)K+a%TU#4fB;`E67AQX|RGzsZ-U5~%7AnW=prGWT z>psh0rHzgdRS@EGw1c(T!1<_cM~m!cW$k{6Jt@*p9cq<6y_7R8rY<(2KfCrwRE;fG|0q z!_Rpc_?zvm%fDIT%t-}?<1G)|r~%4atX9lSQPfP#j9hK%;-V5#9@5NRvdFcSSk9p! zVzn64Kyh*vcZ6xa8 z7y1Q>gF*JvKP9-?j=V{o(D3_-!^Ib;g8`!?@;-r#Ol{*=5#J6}S)D(*i4osa2JqjC zd#2lO#^nh4I?~tYwjX|?88oufS=on5CRsP3dYyDHU9xq)AM>YfFP{G`vZ3PpGm+An zh!@qRlYt6@qR@od*vPHJ0}o!A9zHp)pnIC$+LT8xMnm{S#E87zx;uSNQ@9z61ID4* z^$S?Fu57b)?qPDDusZl>d@~VsWyJVIh^}}}63WdtS$!1VQhouNsOaFVlm@9{jdVp> zEX{#>wO_0V5|(8oXZ5Wu*>sagC!7S8-%fspQ@pAV4!^wD3yC#M#Cp75LatX_pxbe^!<=t6u3Xp(gRm<&EOn?>CcZikzS=epS!c8s?Tv<58c8E`U`Wzmpy4~9*5s^; z;bu-f_{T-BT2srh_AE8}>o>p3f*IUNR_LNvomGhBNH}=9?7+V>5WQ94l5%20{9#-A z@KrW=VmCgzZmAY5%NWQMyJ8*w=JgsRp*g!~b?Zm}xB&7-=UAyuly&A6(AC1PKz6~V zz8SdnkbaL%g`?@#dDzL16^zrMrG!|R>{oi_b4R<`gC!x~7r@=BX`^rxIm&J$l>gx> zU9ebQm_ zLTpdGT`l508a*wihG_Tc(MJ{cT;N zQb7E4%vD2?7EIZK^rMT`5LcM0?S6y|e#T|@k8&7^AU}N=a$8LYoc`#*@7VkwdmBUu zWSuj8t!&&?{`b^8eeNCJ_aP=Ui5SqP2LVwn&vDDnQ~bwtq_(f3*jps7sS;|uEPnzPg{WJ_vGyna%!}gGJBb&Yq>Z=sJ94KP=_@o+&Y_{)5_ps(EXa*cM=laY#t zvWPK0EzN7F*DXF)@Rx*s0y7C7Z!(JPm2R%O1h-s(a!J zIkETAO^9!E_+CE45Lew4M?9%0mr0WMY?4cH=tjlM`EhW6eE8+hXbAW3!Vh_t+pWjZ zh;B=$r>rOt)cTWlm&gK(o~&U{&axt2c(*o>7^&i^hN^7Yq>}q~UXfVCpK=*1f9Uj} z>+mYU(p2R7A04HQzoeHiBs3N=~r;_uhR@T{av^?r2(0dhDk6;NKsna=HgNC-S!8oNr5FY_wx|WU#C95^6QoGHX2VniZs&xnLNH%LFy+LxOwcqRzZ@p@ylQ!>ZWFd49=#keI8no z`^x#{TIC%|qq{Q)(v3<&Ry6vXBYF9^rv5vD68snzYVT0hH_EomfgTg>=w9gz~P z1xO3mG}|>jz~k&3XTlfRW`9@2sgTDj@cp4&sP#i8ney=67-dXbB^?E}&uTTy%2Z}- zwp{-2hPCpO-cfM1KPrO)J4i&9Xg=t4l^e^&N^L7t1LvM+s7R?2>sP6&ru+-)O5lGz zWhtYxE`uo{cQprnZ@jjS9{1Wk*Hc0o^Ux`Nu#(wYTi;T(V|+usw1_>C_%neTO!68l zixStI6Vqe^(HJAs-h`4YIWjwcqitg)YF2##Wgp=zFtfe z12+1J+$?oY`NIR=tV=A&YQ=}G?hrm|)GYc*WZQUm{u&i+E%LMFOnwfE`geLVTFp-( z$#-t?S<8iuX;Kp}I3^)8vqf^6YvnalN5{~81DV<&qG(^Xey2)6RJogUkw3T`z3r0X znNg|pSq0fmWLW>Rz>(XBcZM;Tq`jVJCa|#@BzROcSGT!{*Cef~U#D3L^~3yf7W|Mn z`8^d(o}_-#uKyO6VKBJf`zdRXWmQ69exi*nOZ}sYUA}`*L(u z>bjvCXS(HwAlMLAB!7V96={KBWE8o9KVZ&8#H!Hd!pfL}9cRb>E5^@pE(~F?87@dK zoB|ojZS%7#mpi_rNlNFs6hpKWk_lTaB*VUPH9(trpyZRGV-CQ@8HNuL!ZWxhQg>KH z{UbI0YyIPX{)jlic!2u%YZU?XO&(XtX$aP216!6d_fR9LYG3rzeL{|mN7eX(Y35T1{=yBcQ9&rM|HLwqV*hPM?8F@C#m!}_>%6v7jaRyX4Jl8H2xtT z%_0KHlq}I{^kezs>v-RvQoatqu-u~e4nAfk)KxH3k%IF5ut>V^1_29;lg+LRw8;)t zX~Wu&J4;H4x-Wxm@mCB1AGDoud@ice?at3-BZX~|Pv~l+^(z9Q0*k?58^&{R5MQ5f zY%y=^`0ae2GIi1dx4@whL5TFff8~N58K@kLw2)?_Ks^ds+??otn2Z%F!cWXmw2JA_XWb8 z!IF=uwxJW>egG?Y&#)S3FORn+gU51bx>i!01ynIZJw|h!E4*3-`oA6-NC-xz%saJS zvmr6Vznd=Y0^UR$Bk1dSfe(J^Q_+S3n+(6lwlfo&y=I#|46gQ9@E~mUeo1kT@P;!yIr_Vj+{MSbhfvyO@rQ!lF9h62WBB9AND`-VK73&TF*NFi)~&OT=#8i>HP;oV52!G@@^8^^z?HpecDng1{vv`sQQaJ zEsa*@s3A|y)q6Vhhd&9j`Ri_g-H?X&Te7S+GUHrVH*l(dL?Bu|;d`EW&OI1)r{5$> zY)91eu}K_Czh$mx)y-H=_E?y!l=~r#c{a)`z>5kBH56~9EL)6mD73X%yT)zG#qP&X zDw(qB`gE6hM=Y?7R7}&}xN$C~S{5ixT>EbRQDW%8Z1D|aA!kFG zMCDW{_anK&5-S@>V>LA+2)n&UR`_Z>7vcCNVhVe~(5yIf24Qt-TrZOE`l^Kg!yNLw z&~-Laz0)1@<%sal;GfMtujxo$qC+FX=E+W0T(J0-tbL*hmk94dQ2fE}aa( zYeheV{gmX6bDGLu{#z#8zv7n7eO})YC5}IPqdUZ@yj=Csl|G0Ysp09tZBynYSF?~M zKXMa&Gl?IGB8&7dou2BVctGziJj0ci@b>V(f4vQN>EZrNN*hp+d_*`dQR8WC*cUyp zdA8A*&uSz{wZw(xj7T@R%OOKqCt}6Y_>+uHs6jTCW%cYLwebW0uAg^l?_+1I-O^{F z#$YxlLq2MMqT!+96R{VN^DJ+Ffc@-K@Tm`u1NDPbLtBVkIcC{7t*v4JC@zrTxiOEycZ;HK~#j{wu6U$+kgxy4YOtoKI>StM6pZ!|`v&3rq+BSm8nzI)=n z2^D@k;N2ZBa|gamd(#uX*wr%r-G0aH;^|tkHER4Sp8fn1T+E>U;AU4=7SO!1>5gK9 zgVKcDYLA??+)msNp?A_EY**T^L?e6*H2gkhPEs=DnjNZ?x(JduZ6>ldiT?f9okXTR zR!yaDm7e!Xnt+42fx#YgzC98)OElhAF5B7h`s3+0&AKboe>4(Su_VLqM zMlS4atvp$`57^U^I;v&)G*a8bjr}C%#CKUUmHoLDXDDm$Q5xZOnK=f<&7lQ6b2_?wUHWd+@8}ZR+hAv46BUPd}`D=Wn1P?6yusD}Qu(?*ki@x);`y zlsr1JKYFWkhvrL${xt4RX#eHojkk`At*0653pBTNmc9;wzY*QD?+6JkC zAUj;=hNag+g0o^SM`4o0lNJF~eJbiej}LSPEgkUpv6~VK>v{U?Ffks1c+4z&HL5GI z%f%(U9*w%~Q?bAHPbMyWSauh;qP-W}sEzLoaO%F%CNVob7*0x#8noL8!IoP>SrAaN zPnP?GYladw%bI&}da(E@<(G(5#bb3I7~%Rb*2f=qC}7FP^lODlKah1#pTVoX*O5Op zr=?cM5v8CX#g_I%0-k?YRXndSYMfD-5c3b1v?$&we>o>9??_Ax45Y?6QjI=-%YJ{R3S~FfZvI$ZTK8#Stm|=OZ;R^yOChbz!NVouN94t984fNY2=J( z5&!d+Yvd~{Q1|fl6FIl6H6zq6()js-_Hx;SWe5&Z_*(=!hbw}Lk=u^~aR;-*JNN9< z>KtO3YP6In=iVi{go`z23}FRz zS9S&M)g+9}4$biDjQ-j?hVHRUv|>&2q)1hK-*cnxWK{;zm;L1P>(3T`9b{_dvmk@z z$GdyW>;w1hthACPGa?fFsGD0k7o!zqo1 zxVLy7^-ka6*{+GNxP3}$wqlPs+;K*tvQy1$Tp0^4=LGqjX8WGxJWK%oMJgo7I78K- z8FT9sx1``2NTS5yi>kcTUjB$_gcJoTIKD*U=avR?2OCxO9EV-PZTXU`2eDMI0#YM^ zs#lg68Y&tof%Y%^n_Cfly(Un7gnQqPpHz|omdk#U9#cg6lIb2hf+Hu&f*XjqS;kE= z(Y3u)+(VlLo3^G9lK*&~n}J$cP^*JZNwyNgB#XIL~m}z zh}`g&$2p-I*`JG{HG1p{@yYP)>MPZ%*M4~og_iS%MRce64VB`>o7kFUw`FEwWmAOv z@3gig80Pv9iOuYc$TCJ#JD;>|L7`-BHA-yt2XcsC{bMAP849S!g-X6r*`q|Tx#pfP& zRMAO(hLfjKqLog5?|4MV(1Vr?PWH2Yo#p#MmF=C;(V~6#D@;pReD%6*=mPbG?%wqM z_j6)+FbtcI`N9H%41QEIW32X|6`ayCXK?3QbN+X@%w8F>Px_Ov+Ml)O31Qgs#$2Sw z(32KPf@s%{-GL{N-Zm~RuoevZfi8Tjh*7p%5{)ZNMb*Wgq$nc0s)veWirv0xMpGjL z)4JhTHJo53_;@=dL32B-6Q>Vs-zrn$u<)Km7;z3*E=k@0V9T@Ie7K2}!ae|de}8n8 z5FH^+AlsYt{qD1%S#>3Fh?DY3Ge>xMU1z~!aw}~Wy4wx=d!XrlKqxn8`RjP2fS7A{ z#^|Ab)8gqB$F_+g3z68&`y>w%^m{Fxbk}gzb8%eLf*^u+jqR5 zpCkwnrdC1+>b{2lH*w5iQ}T2QVKJPgi$hyVNZvz|PhLWD>q_RW-^*R5{rje$9S~x7 zNmzC7k+TIezEn2f`U-1^3PaQ#wK!J-Bc)#RwN)<_PHd&&*YcJz@Kn46$M{~CnsNpg zmLSBv(6%#Bk@MwR6V_Qz-h@{%HWtB8nqd?pb*<;oVwJ!FQj&ludlSB6yT%JK`l3|y zXqG{VDgx=e!vg6Mt15>Jv9|{JQK$o?YINc!Yz8|Usb@BWi*(kdiTGbR8pcYg5kq0x zIrIyQH$ep_u`lK8f%mcjFlmcrcS>W&-3*e8Xc{-}oWj9=oZIaGrS;~I!Q?J*tRAKB zJtZ-ybGi%-XF#$cJHJmQ)YK4mr;^VKq;RN<0k$7(eMEO3SiWVM%pQ+e&GhEdDHud2M~F zM9dn?>aG@bLG&h4YJ3_rsA)ljOLf&bV}FMA_TP3~?}3lw=&b>dq<1Yod~i1jjpOjD zy5Kl;x)T{*|F?KPdUfO_prVI;nj|QM(&8CYFA$Cz55pwrl-niV{hiD^#@)B;45aiT zJX_(z@f6c0Bi5YG4uy$RKR8ZQ4`Nk&I=0FL#51i>HnFZgtGk`IVbduW_{N}l%aW}| zeM?h)&{x#LDzOood_vJR9^s;5FeCbxRK>jE<^mouR3d3d{e49XnPO=ip=wE=LbHT- z*WFTIPNLs0B73Tt*bhZxp}>qldf~%6zGjKV@Y<>u89w+%lq>Mj)1#j_^blJn3U6Hjr%3vrgHg#=npD z?CdWe7ZhTXDCu|7?FB?dB7XXr1TcS8=AV zOvU$1>7lppPt8)TFw(C08;5%oCr6-@_)nY8<f*j!+zVLd1wBzG>QMCu$;%?GSjQ5&F?0# zAoyFnDg84>5e6K7i3SP>E!@c<+MwzfV^(Gy_6MfdS`JD4;SiH;3pqTu`_Mlar=9=B z<;bQ;?6R854NL^;k!gP^*LFNdyY;7(lrGx9RKn2DqYQJYDj6MUs|%dxT%Cn9d+pe} zG1%hKNiPu3C7hy4)Ba9VEv$uANvR*pti9U;w{ zFCQ<=sQt{Y=N%YJelc$5Vf^veT-W}9e2aKOugBYd;TLs!$*CgB@(5z3|0wSspdpms z6TA%g;800!7$!c^`4K7yBlc7kq<%H1VZOR_$Rpihoa>5@NvkEdu7nBgkC)aHzwJ_8 z8>L#eFrI*Iabo^ru`QvcU&D;kJ6ySs5AjKMLFRUJ0e25(nL4^xCEmRk6xY04;(M*G5|EmbJNFJ35^*%3#cT zDGeQMgB3w9WOATYdz`hns8TVawOCEHHJP5oA(W>XlWN3wSF zpM{9>6XIumCjDQTlrG-Jryy0k_DF-WD|IPzVJ%<64_X8ICP{UfGW^`~=LHHXtb~bX zvsFb)b6Lt4I4QYL`o2WOF_e?#o^xupTGgfW|545l4tLqND50W2Uf5TT3QJLJk5)Q38R`j&@_bc*x$9TYeiDNNY0=q)E`qe6a$42D<)@Vkw1 z>0-I|XZO+P>z1EAZXqmlQYzQa)SUO)*r(wJkT|r}F3V-W4P=A;mlG%fy%0 zIVoUyZds-z_R)Lwu&AMkh9%)(@gP6Nfziy|G)y>`XK}xL?MjI1xusI?ZB;^*E~-V@ z7XMXeu$G3AP%zSk>djt5(&)4Xwi@`ap#%0kXs&QnHrp(U&}-Xv0yAHxehi|DwD?&d z21Up5glD17i`Z7Lx?R0jzaYK>l%J~IW7G8C5XMaPHaxr0BC;%T3Z#q;SW46iB!dT^#$DNZ#wMsjLoM!H zn!%E$?=wIdUX=uGdcI~XtdCR3FV=#Bnpz*$-~mBYo~q28O;dqXPk7A@r6`Zx_C4py z&82WPq~%ZVZk{qHoa@1;C(^IWSj+1`bm>Dn2pA%Ey!BMET$k&WKnH;x~ltk83K5B^FR%vke zgwTQyVBjS3-S3U5h-)?3lN9Ponis2Kp(&2M8)Kd6b{!?}vi|5T(HaYnB4;LU&g`U0 z42l+|YVm}0p>jfXudl}-kKe1aF0-zlILF`Z6Ir#`4{({l#8w=|GRI03-~5Wm=Qhfr z7!>)>E8O8fA~#H73Ji^}lUApE^dYv{{s&?PF|RvWn@Z5ZtZ=L7 zln0k8o1&FqI7H|!gv94tr)tuBb<}PLKaRFhOHT(HA)l9*$fG?uBd(7Gux09zyUJho}Mc6n^ zg@>opQg8pKu5W;nq}jHvwr$&-wykN~wrzXbwvA~`+qP}ncK3A8-}nCS-uK;a-B;^m zW#rmx$BsG~S#c^W;v{{oz71vXyEL<;sakV`xr;Q2p#7qvcR)n)V)$6drn%v`^O;F! z1q4^U(3GHnQjkE-927R)qT`!!9GPt9Jrn!f(od4it)n4<&mt)h*`2$`9&+B%3&CKw z7&4F5_V0GE9tRDr`IU_aGeob>X;)RA%i|ROx<##opljOLJEOJ+F8BlQ3qMH|?PyF0 z%|bNuyDpIJQZW*HIZey0*fDPVusey8WM_?0@RCHpazTODEi{E&A+7>VSFlif8zruh zQ@7BOE>!lqeo$>ajzG--(mC9^DnHH((U7w&)vteA7sG0F+rUNSoVkYD%QkSyh113v z;7D$ThQn!!dSYAm-9TxAbB_r?(h)V9&cU_{Kq zM2&cpamoS228mDHEJQbGWot~Gg6=?7JK&H3(3uWCw+yi&>{g)CLsgLSY@a2>niw%i z;Zl15FjL#xi>Ym$fsdipgXB1cyH)UUC?_kNe?1M}HYF&k@Ge^n?ix(Y!jU?C4s3XssiiU8fIS-b$mYR5+#ZE zfP=y>x$4PgfB$S=&-fwjpoDgc)&7eB1DkAy7XG?HFF~0O&FCQm=?$TF7J=#ZdID3u zBOuHti+ePwn!!V3B2XPR%H@V5Z-S5skWfVY-Mp*z)0e>=Qi&ohPDiOBx|b=V?TKq= zDCnR*cs%va^|jQ&o)v3rIzp!eF@>e0x)j~xY{)KMo&AtD+ITN_udqc(&eL|=Zsc1w zc4frIaVp=tUQys zubVHS#DfXyDR_g3SEFCOn2NU$66!;;vi8{EVxc0GIHChI?n-lxl(65IOdy3cK=~W*^lq8^ z(-bAb#YR?oC4+AfGGZsp&EM2bdZ>5ao=6rN>JjmWkm~KKD97}U2|QaNg`_vz`t!{z zLtA>;i2E|3r8f1(L3|oJ)b&{|VsktHBv>kUP8)!vipU?v)FtdJGDf8IfWofTvBF}1 z7;zq8jX+~W!U_z_o!~s(dplr2#1|~&5vWPK)PZ_d8NCucoNQuYi&#fQRT#ceJSwiI zi(pQ4Y_#+SMBo@Wg+IU2-^4IXmJHUfA$j^@jKwjS9YF1Bv+FS7DwklhvngQffA31& z{0+XkmvOHZ2-KY5l1eO`hpqlou>#K#;oRIx#;`lY=~5bo706ZSr$7?m{uTnhgi zbI>08048Jcd7wR*!5w96hbhAt{j6l~2ISETs=dn{=nWQ`D;)J(Rz5tMdoX@(6v~?Y zH5E6Dz(DH&4G!UB90t-PEmAuyy0^$z4zV3tTdEv@UoV`763RBpV>GCKufX zj9drn8G1NhLiJeo*#7naQyZUOV)la(lv`?|*%nrN#R(E>;K5aC21 z#8Vlj2(n5pVGOP^Ny6T@^Kku8#l^8Zfq(WOMI0}{z=ZaJFA|6&$d-JIzVj+EKkEe@k<{@{BaxZ zl;Mb7m_sI?j(fj)D8pJXk##jOVsj72zT3%|f+mo(C(nQU8$3~~&n4Bg>Yig2ArIt1 z_(TS;$O&8wl8*y^cWV~>Zi-Lrup2MYofvajCA-?&lNj@n(0Y-BM_~&Rh6M$&-9urr zS7FPdz#x`;2NUUtEw>=pcQj|ki>(t1XRpFB_K{=HD=V zX4BnyLIkVU#RT1#Iw326)DK;?ME49;oqH7dHr-#%C?uy7t|>k6p=iN(q3q6Wx}xuV zr9BY+${#Evb3d;e?u;3OKLbz0L>r`(;iD%eTDFDR=5`W(qAiP!)l8n(`LtFDI6J?8<5kaa)wTHc+Y@i z{znxt9FTWPY1;BC)V3)4*?q>!ki^B}rHo~@ifjz;d?A7rEjVbY4v`ZjA}IdShT4AX zv`*|r`K#c$4lS>Ab3H1_iL;+s0G!|iu8lLkkkK(i(WdC!J{}4{cAq~{ zf=Cj6ka1WG9`E+)x0NKW<48|WX)z{j3K$R1D1mQBMxGN82dCd8Aw-;l=8W_WLTXK> zf|DnK$#vM2x~F%j0u8*z} zt5Hkn22VZ@*?;c=K-4SpbXrm#I+c_TQH_ZSy+^MG0f z=8HX0QN6+CuY3wVp}ybL=;iWl3|zv-Cl139pxC2#DJXT^r(x)eiJ5f7-x?jH$Fg(9 zd@vnqost~hjI~2yyw~8D#ze1lSp!0dzA;Drz^ujmq)+h9=D6WOketjCe=zCjiegGv zpN2?y6VjoT%_4j(4*A`*k)!34kB^JSWB3>n7@_QtwYUs%a0b&Hg|mr8^q4GfVj&mk zsr5@Qg;N;h_2eLm)RlCR|3fn&P*C~#;|N_=E$Ox*ECpmxIiS;U$@ z8~e{SGT3MfQ@K7MC(bvK+?lFcl%EEPL^9ai2Fa;~UxT71Ja^)d*q)cIc^V>DbH zq%0a?G+khVUku-@lHE<9Xz{&k13PkHaz~eWZ-h+x-0T)2q>FYe%oEgXAe{yG(Gi$d z9$w68%k)2f5*RC!X8ASP2P5BMugtYiw#uEvNKo85k&_)LiC|Ae2E@cs5@$QEd#f`k zpFzlv*hJD?38rhm{J>`6IF80`v}x z?~mg)ZfZDmY@OGGLOt?|dfPt0fky}wpV!|7b=XzYVGvh8tkfe)S>#u4)1~o2gx!vR zohu(}dm*s`bBj5rJFRFJO)ZrW66QkQ3SsOz>5Ux(Jool2KJJ|Y(1G1Qzmp+{oxE-0 zIx@>ZX)6ouuca_(TUe>n2kO-N##kge47<8 zDZ9+XqNb_GrpO71$$^sOkjsyQhs<7Xcm)n1Z;T&~=a$g7=@1wjMn|e%t}?`)A@auh zX>hM|FK^1@S68{LxZFUMC+8~RI_usEqee3_K)oNaA$Ef>E0*h^xrM=k>}3P$N~h$KN1JoMclb2w<)o>Cjv0AQ98AMQ{2;laFyX*hPJ$0bq= z99+G_wV1ff^4bC(0K>gzrVbA1H&tug#qJtm;;anUee{7o==?oaC`nX(3sWN_5SZW9 zVH;FhRF=rLNsy`0cn*`_>Ky?fPVZ2G#3fT!G5Vas-$m@)5Egf{2Xtkyi zTDTXh0YTGkj50t4cSlY8ptW}<9?EuhR#W|id6hr0MYhvmk@mI-4Elhy2fH+Pb;TrzI-nGPd0f%V#|%S2;a@BZ*Z5d5}!8I$32#Uns!k_TS`xqh+r5_)%)oF>?@O3xP&{P*m8K8bfLs&N58Z%Ti2Z=vkB)|=dL(q@(rY4cwws*|51!P6AX0qv zIGSg3T6xUst&dn%Gg9WikD|uzc#sAC?YO9)oD$dAkr2{_?EP$4AUF!PK7gfb5l%tW z+UGmNULey02$qxyy(5T4^2#4zq%mul1T0<7DR5-}HscYKr9wX6$6o=Nwo;4&LjG{j zmYK8d@{9cq=9nN`$D@+*qv$XAdl(=`nXCJV1zHz=y|Y^6wuPW&iZdU%SAl!dZT8QzLwR(|V%BBldwP-K$Hfx9&@LW|?z2Z?nh==Q=q*cmw^JQU zeH?^w4W2}&Lv*cw47srI#Bg1)Ts$hmVYXd1uAX1dvqSUwXgHynC zn1dSMJg4dFau*)k0^@TD57o@zSMT7xZfkoYEDs8YYDtv5HxRU)cMK99Iw# zH-#9Iv@H@Cet3UFa_N~^&EsiC*h4cWjHyIq`Vh^%*Fp3U0$=Qsv1Mecy+DOni!P!8 z&K5=M7k!OLiRYOZck6?+*CA`%a}Sz`?V6eZ0#VAZ{(dy=E5BAM3d0#qjXY z?erR&SE2vJw2guE4`@6FRK+k5QHJg0cS2z=7~zODv0*G;scf}$Ck>J7GemE$Nm*C} z^GO-~`Pf4p0;^GB!H3CM4S=GyaI|;=O+AD9DAn}wScdypIa`UIRxJS1(0G% z_|a>T)U0X+P-`?#zaZ$h?iU>4Wwi!X`pl9&4Y#(b?%V#Z7onZBLFXFIEJ^({8U)NZ zBN{Hkk5_Bga9gSZXAfg|8e{qGZBJ8APkZb1{X$&xKz1;nhJ-C8i7*<((w9=80uO%9^G(8FUx zm~{$HFH#E{BAux7!eudJp#8DJ5@myhO5E<=&;`klyXGSWLNWFeUPi=9`1-H{?ovcg zIA%kl!JwqOVr(fsBBBswRUDuA@ir|FG8gh7y`S%FeAh;2VK3tKTjILE8xRJ>aTXh| zrPSBWe`xX+`r+7oQ|F1a#j#;bR7ubxsIa_2$)6RY+g@kwGk?yp@iwmHaR4i{QJK8q z-Ls4mDzs_bEy!rR($sH2vQ{aI#XjL}Q8n?^x+b{mev@lj>JS3tFEqW69k2iK>eUm8vq6-WnFhRU% z?>UtgV#|B%)x+1Aj=Zf0;vLa}d=GT`nA|F4)deBF_#E^&A0*Yxvu3v)BxflDAtcCe zv~^MtNtqQ8BwlT}wD)yq#b$7-UYVi(+PZR)IqBgWS_|OXyeGcMbNZ7jv#ixN$oa_^ z2^`j$6*5#THLOo|kf!TC%(`7%;@rprH43U@YT=M8JQ^B>t=pauG!;i=?6pA_AO4xE zW%2f@D5M9aXxf6p&zX%M)d;xXcl_PL=jOlG2`S~JvBkz(K|3HP&R5o!NbE$HSLnIL$26WeV$=;x!Phw#N!m-u1Wfr2F@qXY;~VZ^-HCXI4ghaW zCLR**b4qb*z#|!}vf{_BlXoN`yLocXaRBhY$sl7wN+Il`#Y{rba$ki;77 zR|R=KXCQQPMG}`J)$GQ1)dvbo)>nCGqDgvuiJIN5DG+3n2(DgJVV&`ogQp1paU&K# z?1Gz;eI(?^BJ*G4notsi_SM?YfqDxCwRHv$vU=1Y7FiQO6mKSP^F7V3oe;?+5{Z$T zI;>TKEa5sUl zSwz%gLM;v2Z}Sknfo(+yo;p_WVtNmamraK#f=rj18fnx;H7Hs06?V>@NjBqv=>V4z zA^AHW_f|93oRXA`LD4zoQBRaoV)NI_r9x&K=QxXVAkK))NHrmJiYuG~;N=ZlYauk_ z$skcux`d@{4(J8PV5Ml@ZNqkCVITpUvr}e7_M=KQrsR$TwCebn@z+?aaD0>#L?1vM7@Hs?Tm(!qqO8g@A{aSv zOgk!m-P+1g3U5t1z~Or$TQHL~@da=P&;VSo##O&~+YMN6za=?}#*yM8a(LMi`l#)M z%Nf?~!dV}ShdhOHq}{$S$Yi|LAApP4KwHW?Z z&iFpe-3>^*zvcVVW~|&csa#fAa=^<-}k5hnlDHbM6qX97I?_J zoj-HpghEgM>r_QUkP94$(G9Qp2A2aQ9q7vgHLcj1F&2S8dQJ>B*_L z37vQMbQHC7{W(OA@50=|hm;+f% zro~Nfsf|aGrz7zD+zJM@%pGtG)S%b3e^YkM-Gsgj8R|m2aGg#z9v5mP%!RPq1K0jF zU-<19Q7o~@JoAxRxpy~2rl*O+G^>Jn8XeS|KB`-kTd_=1u@Q3>gn;IoB3G_RzG}Y| zj!+ZZIn6OwB=vpHw8_6iw*Zu8~au%OBE%4#i=1(wkwnKSgFZVtvEI zpO(NQ+cSow49b`L#(&BV39_;Oltmq zYa1lxa?IA)*=S+#H97rw-q#bMu{HN;-pkVaJx2+FZ;hwxcmc#}elN2UYSq}M%8lDg zOmr)U4h!!an!=#3cY2RVmv%SIKStN^-Ptd1A=-sm1xY$frVB)AS6j$PBzL1f=aFP9 zUfDpdu2nLhw7B}AfRfv97llN=5{xuH4>z;jqJ+nm1~}iPGTcr1ScuHjd*xQ`0qyCm zKc%nsulXWn6!U8FY&E`e=|k_?0xfm>TJ8e6?N^0v*sZ&N@XOhulDXSF$E*yA+02=~ zI%GarynI|{*l}2%T0Wc)Vo;-M7pgGZ_n2ljQx;}xZTNTJY~MG=r%1gx-LxPUh!=hi z=`}(z+uCSaGuYsIbRDzX#0fq8Zt{C*^gD8n6{F+{+_CNcu^(Ffac{|Lc0^Pp7PF%D zXD8&(q2K3q_i650_@7U|Ke^Jwe!G84>t9tD8h`#oLMg)=zG@CPBjsPc#p(UvCe%PN zry%M*!^=x?uExhhNi`Tu@3D>RL%HS`=YExwy%um(=Fc7!da) zIu=dgt91n*y>bC#lfCBz4qRPGSPCn>AfM55W_J1G$J5_eJzLP9SpcjdU zw}vf_@-dH+J(4I~@j^>6aH(Yo72_HAR9~#+21T>)^L0HA)g%HW%i2(PFRQg5GNBB;$_BkvZUyG3Rhru~& zj6yNn4swI;ex>=G*8_5?rhH5PwJT(VpPN=Rlv|IH$-td6(zl^S7_l>L$S{3i65$%} zUCOR^UbP48TQuPUT3XAXy=7_;+SRJ)Fp)wbfw+qc$fmKy692upI9rOPvSbpFBuooW zU}7avou;}py21}!<+(o#mau=35hRPf6KUgcx3rzznxu<6nMDJjbc%|!x zREigy%Z>A57M6xGROYhQC33Y|OwqD~M?*oV9Wy&UNm8AYFB+Qy2RWS;-4@n^hO}v5 zIuv3K;+Lqy3&qw}%M_pyXnBiAb8q}Q#!E&Xa&LNFdypR=HRI-EO| zXi@j_p=fd?oTMiyh^`b{CaRl?suYQ1I$^=v&}!B5iDaI&4V^!JECwkbYXE^>Nrj~z z*B^1#DAf7&+q@KSl=8|xwdn;;l#yz*%Yy40Ns}%lmMWLvK8CIz=pu%shR=8&aqBin z>9nPo&@e_#)e7V2S%PdIvSdtHYrQq8GOt@E@aea4_+E=(l(8O9>Y<*j9vjRSnN*GT zhsHESzU{iDwU(!lgH-B4NfZ@6Rh$j0yXT%f7&h^E1`%EfN-*B#u|SpYVJo8N7LvBR zBf-JTF#%O4Voa{7jc4(T%D4d$+BWvy2ae`S@14xw z<`^;&SQWS*+a@epl~1%tt7t(OBrn!*6yy*5zY>x-#{#czUyqo2Tp!aH?=Scc(zT&I zo4)Jxd;09=b#@jPfKjKA!cez(=(ktQ8%r3x7s9d%zzWV*9YH4DJmPJ>#^Jc{Uy5iW zY6sslpw=5JuMc9wn{+@(GMQW>?Q#w?AeMOwOFFCinx|pcY?AFr29pp6yB;d-lU@rQ z&?o>_mf%1+@p@I_T9;UK5Jhojr7SGaP;*I&9vhV|o``u(u+cN)+4z z1*Dw1ev=b-+*A@X?vzEf!?bAXn40qNH{70wLiblB8)AR-*|#r|(+QKcT4C ziGLB*pcH+bse%v=-R&CQHvUM2QzdYaD2np>9Bc~lB;Z-FZ*k#e zRSMuXmuTQR;NG(&iOe{nVJj-83^rv$H|lMHbdE+=VI?ej#uFhMf&A zo0Q31*z$zN`#w*#7u&jY=hu_(L$FAv9Ya+yroM~)%YIzJ&SW3yBK_`f6^VDO- z?oGyo6--;jL`)I-;j_C3ot_rF;&;_KhVa{1$^KsK9rkZ&si1Ku{xOqFUKcygh4ZVm zQwB_KsxCvGU~L)rr*5MOj>BzYiE;G&lWx_31f;ZWJyYD6NJSBG<^l_{V!uUpgwzu4 zQXI200e_Vyn#D?WT_>4PT!#syVjuJ)7i|s5;T&=&#JBDi*Sm>(Y?oHe7Vly~PBgGv zQXCt5hlG%b{mGUNAVh01p^TRsn0T8>X5hH{M4P9;Evi;9P_gIQ-*eEqP@dSNS?G!$ z4M?2LD!Bq?B87mxMaOHWucr-D#f9XxX%J&gc-bjW|2SYfUbCQ?)BCi?L$b2NsgtS^@C9JAS1y)@yj4MC~f*o7voDOG2wRslsx(ys?7S ztQO8Ur_&2lv{7czO=o^mqFy@ezy$M8mR}ATR{{-maja)kzF%&Hz*4i0Syt@qKhkd})# zIQ8yZy+Vu>_;#u_tl+?I+eq}qwP~6SNL)dk-=wz9qdResj;VCp3j4H(ige>Epfi+( zzyqJ8!~-VRkPt2DX?*;_29PFdA9Jv>Z15mmXBI<8@^D5*`XUB;!K6&7li}jni+w1*a~WR(si(R;Kmw}Q(o*fNu6ce*yo4w) z<17GulPTm-?6Zha6aPJ~EeAq___(8FMc~|SEn}d8U>KhIGILoL(K2PdU{e#x|;`=};>r&xH?nl=9pr zOd_bObXVV~F@3NC)2NYx#8E(5eF?SdG}PKrc&^$G+mW8$IF8<$u{fnPqxV{tH%(Oo zLINkdOFY~VuJ=2x55gH&b!onAD?eQI6<0k_z7a`yQwJ?Uypw~kX;iHDDHm(sWP+p< zL{|w>OSkMjVdR+BCy@D`&t_Qo0)w$>n|LXimuihe-wFCJ#bNqx>O#u&SRw2>?X|;v zX`Vcu=EZoB|=B&`UT#nMYi_A{Pm!Dg(os2o1>9v02IP+RR0shvT8COD?j|st%g# zdH&Qe3aU3aP@bG~h()9Cl%OgCO-BRQH|{_Qk;8X`0~W=&wv#ulzne8WyT~0UF+5=Q z76>1mK($69#1QPO5CanxMVY4BNJ!4TP^8R ziF7au?j$tNm8OHC(hWSyz*vf$kNlHv-HBSPPs3K|zw)F{tBe*vv@b>wN=pPW0moh7 zVGsl7pYS5L9t&k)444*~t{#(gmNG>6igG<`vJuxqfMPl4Lg}@+@}d5*$?^c~v(b~1 zb15b3P^K;h4P{X7a?PpR3~=LmYdVX9#F6Q3^hrW$Yx9;VqYHahdQ}rq**6828uVHK!4Z5<&X-wv8VX_zjt>xcURzW`LLG(MG^-^jz2LT}-2Fc(r!t`Y%V?p5SRYlwoVp!a;9xS(uhhHQa_EmyCLbxs2Na9b^v+i3gkU zsnawV7PkQsJtW1X8?w`)I?7`-sO*k)xyh3^Cld*#?r`!sGFD)R{_*?%6#7A*ZiL?` z7TiM9-r_G7nZBiZGUFj$mx=qi65by7>_xwS%55JWyinYcN863m9H9EeDS0P<*$~Eo znqvh22vye@RhQ(~Ed(0QVuFJt-NPi^;#;uS^4m;Haa4+zdjwJ-bsa-Tt2ZxvtmPr3OQLD_wkFZ-vQ z#h2VI^_Trqj>Ru--aGlr{wep?i=SKb^y1yv)=ktMk3#S1eTn+91L%Z0;C{d{7@h8t ziuASj-zvJpd&?g1Wt=>PfH={i-Ok(oegW~G^$%$94(^}O++QK7?7u?Ge}yvt1KRj2 zbp9XE?q4D9oWE9h^j9eIKcMr!LhJtl-TW1z$^C1EkAH={{tYD$?8&kDc{jiAw;TLH zd;8nrmqY5lkV%4Vxc8cwYtpC0ucFQ8i|vYEh%ZHLuJu>(e%P)5ljeat{KNRGn91LT z^Q4#mV;NcrB5w+&QJAh3I&aKaT=JaxohS_xqdtkpPOuObK!gi}-U3SfXrZZ$8`KhZlks zWszU0)`pU_9nb);+2TA1uVVJRQ~B$*pbr#a@4b$&&b14j zbb=xI`=yPMXcQ&3X!#)SKym#Av6+&hP6E}X#V@J#)7pX9Y_4s+C7o`Q;MX8rkMxU! z^vu`8SoPD79E=0_@$GJ>zH9u$qCZ@jE$HfgwmX5gzBcC_`Mdy6%IoEQ=(zo!2r=}%h>qYuc|aIzQa;x_05DpDKqnT@^jkN%twnS|J61I zJMIbzM##p-k)5#Ry^vUy*#MRA7Wn$ZXxV2561xSmq0@<&yvLh(k==Yo{3S01l=d1_ zdCZU>%CRsv8mf%m482vLVj6|Uy{GntG|5Axi<2H-RSAkc|2WzMe>7})b1f=LwUB2d zKh)VXzh|pNi@bFF)`Hs2AxfDY-I-S8(^5fatHU6^HFdb z!wYfg7=r#0`%dv7ECZdXyA{&5=0G$0X_cs_Rs6Q*K{I-YmbTLcdf7>@H*O#W#%3_2dO;x6OsPTKj~ZlsOh#!^s7=`O`a4` z5Iedi6E`b9_T^8F?{ZGi19#gE2ZJ$rhs_Vg|PRCK~6KcFeP zi}z2XA^VG6@CS@M{I2k=NfH*w+ZE?7?bAhzW&_A+Ox$Kr{|?r-!NUn6%x|qqqBo;TloA44Jl5piRrl_crf#l z&jw-Ro7fN)eVmTzpQa_@p+m4}vZzuDCClkCJ&q(`!1pr(NXutg#ARC2=yQwb?-At# zuLI4^8q;h%jh*aBqVo>*Cxz@^`7Kb!Zf#OIB=Z88Ji;_;k3P*a)#e=zUjZA_duXm6 z;Rk|dOARdW!-c%-Nj=Jf{U#xxc5EuK0e?{E=D$4)i(T6 zK+Y9X{1vT}n^46wY*b@^pq;I)DV2d(WF~B!!f{fSh*}}IZLl}mv^$Bg_040x%zt+M z+$qp(2D=|Zr!pc995^IoyCb+}6za%+nGD*<*LE$78Q1y)&P!|nJ26eX4?VUx zPBt`qZ~!$i)3kpf->8;N$T-(2Fp4=LH}kfKg36{NF@sv+s^y*kkQ)~}{O#ccR5-Sp z`Qb%B6yMPBgh)HIiKz9*?D88wU5Gq!-Mclua3GN(5+|;Ug4b?)h5jv*yrn2 zFIu+r?$!OHtPAZM%;+n6FVlZxhfkGCJlHBF(@eEUQ*S7}HJ_h#dNC zX9XFSe%yGbNpE!=T?v2{o^Z1Y=4H3#7dj99aNetE#E@IUpDu55*15Tl$8-%jl5Z$G zPqpimY+10v|H`Oi0lHxCiEbC>V`K0d<9{Wq3Sx5s)p|0&TvvM><-Ypp#LszhUXjzY zPo)+gyN-xWIS&>Zbr`G-?FLcJP+@EHv3@pVBOx^{YvsqReKh$fluz5m$8 zHM2E^fyNUQ#t5`I?MRqaSuaC2$-0LxuℑB%ZA7L;iWM>2eyOX!K%H z{8@ggnBL`6>tramo{M-c470%O%-`{nmqj2ex6UwXEmk8dxrUve1MN6dgi8^ zBXbSK;55ahL&W8GadyAR%Ss*4e6f1OB$yt8;gK?a>lrthesA#!MTzOHM-u0=O&98J z;AgJJ@vBh8B)Q&F8#B$)lU8kq#o?)g9t$(iB(x$Sk<0)CV=F2mGiSj*^eB&tybz>UC$ewr(K7&o)PcR3|n6>G_-ts@{c8;O>9{;NTE{x z%z>lEeSe>y8%A*Sv&r1bfB77WJ8RyW($LGOMtt^zU(IoS>$*84>GFPie?Gk4enxyv z4S1VhLbwv;!|)l~TuAk!bXD3NFKHcntZK9NTpNwFZ=#`W0V#z$<(`h7cU;f^`KBo~(YJkS3pwM74qpS%WHpJ2=w0sTcV4SpDKlhUYC;%V@-y@C#oKr+k$98{yejXnWxBD{GD3$?*~N_qgfJwPZ( z5waF$lM+m0$znjk21B0f8}NiI#!SnmzX`3L|DY&?|986{V%K$|KK8umBe*DE5E8gW zhmaqVj~%4kzhE#6!R6C8?V*ttelCj}h)s;bZcj6_{*@SAN}r#C{lOHuig#*q zFlR-ALb6Q#0X}g%V^MxC5DjV~ipNOCI1v3SZUU6Y=sErd*Es~G$DmnWMOn)j2jIy{ z69*sqd>5?UhCkbh<)erYu06tt95Y~bMOK>z-#g1CT5kl!$*t*C`+?M_cliX z=v1QmIh1TYSrxb$haI1x^2Zu{I|fevSQJU@W2+HMb3#H>BYBn*HM&2nL@LT>a<^UeTpq&{Gz zypJomJ>Z2TAVv^|~w23kiOj2=EBx*mi{U`=vk+T7pL0>bqJ+uG>buuH$xYlx?*(a4E$yfG8Tcf_Hz`u$(txo`-}nt zLW&*iy#5p^bS}Z6o**7@z5v`M=uo08fJBbX3*3Wvj3yaLFd$q(9UeMN!?SR2L;Z(nJUO|YUWoKr zX~@YKw}BZ+YVZ#PUKYvsL#$;L%I~OcVIu%>k!#J`7+J`xqaW(mnHPm;7BJai*9)dH^`+c(3+`w1>W_k*I1qI|Id zSN+W4wio7S+O=vG2V+Mjo~x~8aD~x!;~ufG00_`vCZ{FqFEM&_!#52W5fdujOhK+6VrIl8n8m|nk>(0C|spZ?8yBlYj~}_vwRd+BOYjQ z{6}3Btl9+lfTGWs@AgAF?anM?ON?UI@m6rR_(JD4fIU7$+ImlRGQb;+vOP2=7~D;` zf!-j2zvvNIUK>ZK=y)$WZI(b%ABZ&WW337Ju$^O>~YOH8)f_}%QLFHAeSMz$C; zTH1m%np!l@^wC0l=c1P%zj>FZVFH;~u#q9*>NMlrzOi$sBt_3Ip~AI;iIeLi4+G6) zbUgrDkHERslvM|Md;k@ABad9OO=CZ{o%lVCM}Rh=0pMwnS5)n1=N0s9#Y>ZkEQzOh zfIN4RZ62}fqZ&P00Y5tl{1E_`z)6XqpcoF?E;%mRn<#{4FEH{r^l=i|>n38r>#%?y zSWwo?84c@dfZcPi;6G_YmweI~0`MLCL0WUzYeP;=SjRkLcqb1YG;$dE+RJL&bt??r zRWn?(x#u)1v5LzGpPl=!f_vPzt&=~lZ!>MC5uVv_6dsz_(k%b@*{nR_d~JF**M=3g zexG3SQb53{fd3uzw_@Fs^gsXra&Q3PYs~%kt0~|gMMFCqdLt*-zmqOa*HRUL004H- z{~sy*i`5hm{8g2)iIatyt%0+hqtkyQ>w}*VixU6%)gjl`=$V3FaW^T#M#=y z$(i25-stbD9Nm4lIA4l#Z~(xU{rlAv;7AGp*xNZ-I9u4+{#VUpl2=e2eINja3fMnG zn}2be0$M2l9q0(@3A@mn89M%5cP}}piu9{#_~-xt(!ZEr`=$V)aG-xhNkV!u22NK0 zjZWofVlDgSmXohGBmW0IIp!~Pc>^bBlmEuQeJT;}Yy<$z(Lw*lM@je#U&+GSO6kAx zmSt=lx$z_&UQ9!2fE#sIi&J*T;8u`foKb4!6Dj$^!y8;eh|md*^ch zu0ibo@ZJW*X!{u+08sYTq5ji*BR3%bk{A0wy$5sfrqBQj0QB+x-Fv(6p#Mw%KfU)J z*T8?&0|3O=gZ#~VgI|5=e?nX_z5mmDe-DcP*L&+5|K|TIME-lv`FkY$zhtA$f0O?w au9cSp`?>`GaXldb(!aXP)WKI*2mC*jWQ$t> literal 0 HcmV?d00001 diff --git a/PCB/production/netlist.ipc b/PCB/production/netlist.ipc new file mode 100644 index 0000000..ce431c6 --- /dev/null +++ b/PCB/production/netlist.ipc @@ -0,0 +1,782 @@ +P CODE 00 +P UNITS CUST 0 +P arrayDim N +317+3.3V VIA MD0197PA00X+032776Y-033465X0315Y0000R000S3 +317+3.3V VIA MD0197PA00X+026870Y-040551X0315Y0000R000S3 +317+3.3V VIA MD0197PA00X+031590Y-032180X0315Y0000R000S3 +317+3.3V VIA MD0197PA00X+032776Y-038189X0315Y0000R000S3 +317+3.3V VIA MD0197PA00X+030609Y-040551X0315Y0000R000S3 +317+3.3V VIA MD0197PA00X+032776Y-036575X0315Y0000R000S3 +317+3.3V VIA MD0197PA00X+032776Y-034547X0315Y0000R000S3 +317+3.3V VIA MD0197PA00X+031624Y-039931X0315Y0000R000S3 +317ADC_BAT VIA MD0138PA00X+027185Y-044724X0276Y0000R000S3 +317ADC_BAT VIA MD0138PA00X+031614Y-044724X0276Y0000R000S3 +317SW_B VIA MD0157PA00X+051299Y-048661X0315Y0000R000S3 +317SW_A VIA MD0157PA00X+051299Y-049213X0315Y0000R000S3 +317SW_D VIA MD0157PA00X+051299Y-047283X0315Y0000R000S3 +317SW_D VIA MD0138PA00X+028819Y-045612X0276Y0000R000S3 +317SW_C VIA MD0157PA00X+051299Y-047963X0315Y0000R000S3 +317SW_2 VIA MD0157PA00X+050945Y-045669X0315Y0000R000S3 +317SW_2 VIA MD0138PA00X+029724Y-045140X0276Y0000R000S3 +317SW_1 VIA MD0157PA00X+050945Y-046220X0315Y0000R000S3 +317SW_E VIA MD0157PA00X+051732Y-046260X0315Y0000R000S3 +317IR_LED VIA MD0138PA00X+028937Y-043937X0276Y0000R000S3 +317IR_LED VIA MD0138PA00X+026496Y-044370X0276Y0000R000S3 +317SDA VIA MD0157PA00X+036339Y-034331X0315Y0000R000S3 +317SDA VIA MD0157PA00X+037047Y-036294X0315Y0000R000S3 +317SCL VIA MD0157PA00X+036417Y-036299X0315Y0000R000S3 +317SCL VIA MD0138PA00X+035531Y-034232X0276Y0000R000S3 +317IR_RX VIA MD0138PA00X+023543Y-045945X0276Y0000R000S3 +317IR_RX VIA MD0138PA00X+032323Y-045945X0276Y0000R000S3 +317SW_5 VIA MD0157PA00X+050404Y-044173X0315Y0000R000S3 +317SW_4 VIA MD0157PA00X+050945Y-044567X0315Y0000R000S3 +317SW_3 VIA MD0157PA00X+051575Y-044646X0315Y0000R000S3 +317+VSW VIA MD0138PA00X+037500Y-037402X0276Y0000R000S3 +317+VSW VIA MD0138PA00X+037500Y-038228X0276Y0000R000S3 +317GND VIA MD0138PA00X+075906Y-040157X0276Y0000R000S3 +317GND VIA MD0138PA00X+031142Y-039173X0276Y0000R000S3 +317GND VIA MD0138PA00X+026575Y-031496X0276Y0000R000S3 +317GND VIA MD0138PA00X+027050Y-035430X0276Y0000R000S3 +317GND VIA MD0138PA00X+035728Y-038012X0276Y0000R000S3 +317GND VIA MD0138PA00X+036850Y-044646X0276Y0000R000S3 +317GND VIA MD0138PA00X+028543Y-033465X0276Y0000R000S3 +317GND VIA MD0138PA00X+053937Y-032677X0276Y0000R000S3 +317GND VIA MD0138PA00X+047126Y-043071X0276Y0000R000S3 +317GND VIA MD0138PA00X+039567Y-041850X0276Y0000R000S3 +317GND VIA MD0138PA00X+028858Y-049724X0276Y0000R000S3 +317GND VIA MD0138PA00X+064650Y-034938X0276Y0000R000S3 +317GND VIA MD0138PA00X+044016Y-031142X0276Y0000R000S3 +317GND VIA MD0138PA00X+057362Y-045000X0276Y0000R000S3 +317GND VIA MD0138PA00X+022835Y-049646X0276Y0000R000S3 +317GND VIA MD0138PA00X+053386Y-036299X0276Y0000R000S3 +317GND VIA MD0138PA00X+045591Y-049724X0276Y0000R000S3 +317GND VIA MD0138PA00X+026983Y-034567X0276Y0000R000S3 +317GND VIA MD0138PA00X+025864Y-034569X0276Y0000R000S3 +317GND VIA MD0138PA00X+089370Y-032835X0276Y0000R000S3 +317GND VIA MD0138PA00X+025748Y-036146X0276Y0000R000S3 +317GND VIA MD0138PA00X+058740Y-032953X0276Y0000R000S3 +317GND VIA MD0138PA00X+050354Y-038425X0276Y0000R000S3 +317GND VIA MD0138PA00X+031772Y-044252X0276Y0000R000S3 +317GND VIA MD0138PA00X+037087Y-036969X0276Y0000R000S3 +317GND VIA MD0138PA00X+038268Y-038287X0276Y0000R000S3 +317GND VIA MD0138PA00X+022362Y-048858X0276Y0000R000S3 +317GND VIA MD0138PA00X+036024Y-033957X0276Y0000R000S3 +317GND VIA MD0138PA00X+070591Y-032913X0276Y0000R000S3 +317GND VIA MD0138PA00X+055591Y-049055X0276Y0000R000S3 +317GND VIA MD0138PA00X+023622Y-031934X0276Y0000R000S3 +317GND VIA MD0138PA00X+094409Y-042638X0276Y0000R000S3 +317GND VIA MD0138PA00X+024567Y-038976X0276Y0000R000S3 +317GND VIA MD0138PA00X+031772Y-033543X0276Y0000R000S3 +317GND VIA MD0138PA00X+081275Y-034764X0276Y0000R000S3 +317GND VIA MD0138PA00X+053189Y-049567X0276Y0000R000S3 +317GND VIA MD0138PA00X+089809Y-042237X0276Y0000R000S3 +317GND VIA MD0138PA00X+024155Y-033443X0276Y0000R000S3 +317GND VIA MD0138PA00X+042510Y-032343X0276Y0000R000S3 +317GND VIA MD0118PA00X+092005Y-040176X0236Y0000R000S3 +317GND VIA MD0138PA00X+023622Y-034887X0276Y0000R000S3 +317GND VIA MD0138PA00X+030709Y-041220X0276Y0000R000S3 +317GND VIA MD0138PA00X+028347Y-030556X0276Y0000R000S3 +317GND VIA MD0138PA00X+024528Y-035866X0276Y0000R000S3 +317GND VIA MD0138PA00X+077717Y-047441X0276Y0000R000S3 +317GND VIA MD0138PA00X+041535Y-049724X0276Y0000R000S3 +317GND VIA MD0138PA00X+052795Y-046181X0276Y0000R000S3 +317GND VIA MD0138PA00X+093346Y-044291X0276Y0000R000S3 +317GND VIA MD0138PA00X+030827Y-044252X0276Y0000R000S3 +317GND VIA MD0138PA00X+033425Y-049724X0276Y0000R000S3 +317GND VIA MD0138PA00X+074528Y-046102X0276Y0000R000S3 +317GND VIA MD0138PA00X+026496Y-046339X0276Y0000R000S3 +317GND VIA MD0138PA00X+025788Y-035446X0276Y0000R000S3 +317GND VIA MD0138PA00X+057913Y-047323X0276Y0000R000S3 +317GND VIA MD0138PA00X+052244Y-040906X0276Y0000R000S3 +317GND VIA MD0138PA00X+039567Y-046220X0276Y0000R000S3 +317GND VIA MD0138PA00X+035728Y-032382X0276Y0000R000S3 +317GND VIA MD0138PA00X+025591Y-037638X0276Y0000R000S3 +317GND VIA MD0138PA00X+024843Y-047402X0276Y0000R000S3 +317GND VIA MD0138PA00X+025394Y-030556X0276Y0000R000S3 +317GND VIA MD0138PA00X+087402Y-044291X0276Y0000R000S3 +317GND VIA MD0138PA00X+053228Y-030827X0276Y0000R000S3 +317GND VIA MD0138PA00X+085276Y-048346X0276Y0000R000S3 +317GND VIA MD0138PA00X+022992Y-041654X0276Y0000R000S3 +317GND VIA MD0138PA00X+076929Y-043346X0276Y0000R000S3 +317GND VIA MD0138PA00X+040787Y-041811X0276Y0000R000S3 +317GND VIA MD0138PA00X+087677Y-033937X0276Y0000R000S3 +317GND VIA MD0138PA00X+054173Y-040472X0276Y0000R000S3 +317GND VIA MD0138PA00X+056142Y-034882X0276Y0000R000S3 +317GND VIA MD0138PA00X+040906Y-044921X0276Y0000R000S3 +317GND VIA MD0138PA00X+027601Y-035430X0276Y0000R000S3 +317GND VIA MD0138PA00X+040157Y-045512X0276Y0000R000S3 +317GND VIA MD0138PA00X+032376Y-031434X0276Y0000R000S3 +317GND VIA MD0138PA00X+023622Y-035477X0276Y0000R000S3 +317GND VIA MD0138PA00X+074016Y-043465X0276Y0000R000S3 +317GND VIA MD0138PA00X+029528Y-030556X0276Y0000R000S3 +317GND VIA MD0138PA00X+043386Y-049724X0276Y0000R000S3 +317GND VIA MD0138PA00X+041220Y-031142X0276Y0000R000S3 +317GND VIA MD0138PA00X+070630Y-045276X0276Y0000R000S3 +317GND VIA MD0138PA00X+025015Y-034154X0276Y0000R000S3 +317GND VIA MD0138PA00X+023622Y-032524X0276Y0000R000S3 +317GND VIA MD0138PA00X+031299Y-030556X0276Y0000R000S3 +317GND VIA MD0138PA00X+040787Y-044016X0276Y0000R000S3 +317GND VIA MD0138PA00X+088819Y-037480X0276Y0000R000S3 +317GND VIA MD0138PA00X+034488Y-045118X0276Y0000R000S3 +317GND VIA MD0138PA00X+086220Y-034055X0276Y0000R000S3 +317GND VIA MD0138PA00X+045157Y-031732X0276Y0000R000S3 +317GND VIA MD0138PA00X+037756Y-036693X0276Y0000R000S3 +317GND VIA MD0138PA00X+024776Y-035139X0276Y0000R000S3 +317GND VIA MD0138PA00X+025433Y-038976X0276Y0000R000S3 +317GND VIA MD0138PA00X+026575Y-033465X0276Y0000R000S3 +317GND VIA MD0138PA00X+024016Y-038819X0276Y0000R000S3 +317GND VIA MD0138PA00X+025590Y-043080X0276Y0000R000S3 +317GND VIA MD0138PA00X+039626Y-039055X0276Y0000R000S3 +317GND VIA MD0138PA00X+037480Y-049724X0276Y0000R000S3 +317GND VIA MD0138PA00X+033661Y-031201X0276Y0000R000S3 +317GND VIA MD0138PA00X+045354Y-045787X0276Y0000R000S3 +317GND VIA MD0138PA00X+064409Y-037402X0276Y0000R000S3 +317GND VIA MD0138PA00X+052874Y-034646X0276Y0000R000S3 +317GND VIA MD0138PA00X+023346Y-043858X0276Y0000R000S3 +317GND VIA MD0138PA00X+040827Y-039055X0276Y0000R000S3 +317GND VIA MD0138PA00X+031890Y-030556X0276Y0000R000S3 +317GND VIA MD0138PA00X+027165Y-030556X0276Y0000R000S3 +317GND VIA MD0138PA00X+027613Y-034567X0276Y0000R000S3 +317GND VIA MD0138PA00X+065984Y-043583X0276Y0000R000S3 +317GND VIA MD0138PA00X+023622Y-036068X0276Y0000R000S3 +317GND VIA MD0138PA00X+025984Y-030556X0276Y0000R000S3 +317GND VIA MD0138PA00X+040647Y-037100X0276Y0000R000S3 +317GND VIA MD0138PA00X+038504Y-032283X0276Y0000R000S3 +317GND VIA MD0138PA00X+023622Y-037839X0276Y0000R000S3 +317GND VIA MD0138PA00X+064764Y-040197X0276Y0000R000S3 +317GND VIA MD0138PA00X+027559Y-032480X0276Y0000R000S3 +317GND VIA MD0138PA00X+032087Y-045157X0276Y0000R000S3 +317GND VIA MD0138PA00X+037461Y-039449X0276Y0000R000S3 +317GND VIA MD0138PA00X+049803Y-043583X0276Y0000R000S3 +317GND VIA MD0138PA00X+035709Y-044449X0276Y0000R000S3 +317GND VIA MD0118PA00X+094488Y-039606X0236Y0000R000S3 +317GND VIA MD0138PA00X+035945Y-035866X0276Y0000R000S3 +317GND VIA MD0138PA00X+031693Y-042598X0276Y0000R000S3 +317GND VIA MD0138PA00X+024409Y-049685X0276Y0000R000S3 +317GND VIA MD0138PA00X+035591Y-037362X0276Y0000R000S3 +317GND VIA MD0138PA00X+023592Y-034248X0276Y0000R000S3 +317GND VIA MD0138PA00X+034488Y-043071X0276Y0000R000S3 +317GND VIA MD0138PA00X+026575Y-030556X0276Y0000R000S3 +317GND VIA MD0138PA00X+084409Y-037402X0276Y0000R000S3 +317GND VIA MD0138PA00X+064173Y-045433X0276Y0000R000S3 +317GND VIA MD0138PA00X+033223Y-046565X0276Y0000R000S3 +317GND VIA MD0138PA00X+078425Y-037402X0276Y0000R000S3 +317GND VIA MD0138PA00X+028543Y-031496X0276Y0000R000S3 +317GND VIA MD0138PA00X+025591Y-036855X0276Y0000R000S3 +317GND VIA MD0138PA00X+060517Y-034944X0276Y0000R000S3 +317GND VIA MD0138PA00X+069528Y-040157X0276Y0000R000S3 +317GND VIA MD0138PA00X+033346Y-037323X0276Y0000R000S3 +317GND VIA MD0138PA00X+056220Y-041339X0276Y0000R000S3 +317GND VIA MD0138PA00X+021220Y-047480X0276Y0000R000S3 +317GND VIA MD0138PA00X+049646Y-049724X0276Y0000R000S3 +317GND VIA MD0138PA00X+091614Y-038819X0276Y0000R000S3 +317GND VIA MD0138PA00X+041732Y-035433X0276Y0000R000S3 +317GND VIA MD0138PA00X+023622Y-033115X0276Y0000R000S3 +317GND VIA MD0138PA00X+035157Y-041378X0276Y0000R000S3 +317GND VIA MD0138PA00X+072126Y-034961X0276Y0000R000S3 +317GND VIA MD0138PA00X+042165Y-045866X0276Y0000R000S3 +317GND VIA MD0138PA00X+025866Y-044409X0276Y0000R000S3 +317GND VIA MD0138PA00X+034724Y-039094X0276Y0000R000S3 +317GND VIA MD0138PA00X+030118Y-030556X0276Y0000R000S3 +317GND VIA MD0138PA00X+030512Y-031496X0276Y0000R000S3 +317GND VIA MD0138PA00X+069882Y-043622X0276Y0000R000S3 +317GND VIA MD0138PA00X+094409Y-044921X0276Y0000R000S3 +317GND VIA MD0138PA00X+051181Y-031693X0276Y0000R000S3 +317GND VIA MD0138PA00X+073780Y-048661X0276Y0000R000S3 +317GND VIA MD0138PA00X+037559Y-045433X0276Y0000R000S3 +317GND VIA MD0138PA00X+047441Y-049724X0276Y0000R000S3 +317GND VIA MD0138PA00X+023622Y-030753X0276Y0000R000S3 +317GND VIA MD0138PA00X+038622Y-031142X0276Y0000R000S3 +317GND VIA MD0138PA00X+088750Y-043701X0276Y0000R000S3 +317GND VIA MD0138PA00X+027756Y-030556X0276Y0000R000S3 +317GND VIA MD0138PA00X+082677Y-040157X0276Y0000R000S3 +317GND VIA MD0138PA00X+092224Y-043996X0276Y0000R000S3 +317GND VIA MD0138PA00X+053034Y-047975X0276Y0000R000S3 +317GND VIA MD0138PA00X+033071Y-030556X0276Y0000R000S3 +317GND VIA MD0138PA00X+023622Y-037249X0276Y0000R000S3 +317GND VIA MD0138PA00X+036929Y-033937X0276Y0000R000S3 +317GND VIA MD0138PA00X+024803Y-030556X0276Y0000R000S3 +317GND VIA MD0138PA00X+064803Y-043504X0276Y0000R000S3 +317GND VIA MD0138PA00X+075653Y-034928X0276Y0000R000S3 +317GND VIA MD0138PA00X+024397Y-034781X0276Y0000R000S3 +317GND VIA MD0138PA00X+059528Y-037402X0276Y0000R000S3 +317GND VIA MD0138PA00X+026929Y-049016X0276Y0000R000S3 +317GND VIA MD0138PA00X+035276Y-049724X0276Y0000R000S3 +317GND VIA MD0138PA00X+031220Y-049724X0276Y0000R000S3 +317GND VIA MD0138PA00X+091811Y-040748X0276Y0000R000S3 +317GND VIA MD0138PA00X+023622Y-038430X0276Y0000R000S3 +317GND VIA MD0138PA00X+039331Y-049724X0276Y0000R000S3 +317GND VIA MD0138PA00X+052323Y-031063X0276Y0000R000S3 +317GND VIA MD0138PA00X+037480Y-035591X0276Y0000R000S3 +317GND VIA MD0138PA00X+023622Y-036658X0276Y0000R000S3 +317GND VIA MD0138PA00X+033346Y-032835X0276Y0000R000S3 +317GND VIA MD0138PA00X+029528Y-032480X0276Y0000R000S3 +317GND VIA MD0138PA00X+023780Y-041654X0276Y0000R000S3 +317GND VIA MD0138PA00X+024650Y-033780X0276Y0000R000S3 +317GND VIA MD0138PA00X+024016Y-034409X0276Y0000R000S3 +317GND VIA MD0138PA00X+069961Y-049449X0276Y0000R000S3 +317GND VIA MD0138PA00X+084567Y-032323X0276Y0000R000S3 +317GND VIA MD0138PA00X+028937Y-030556X0276Y0000R000S3 +317GND VIA MD0138PA00X+051496Y-049724X0276Y0000R000S3 +317GND VIA MD0138PA00X+069449Y-047677X0276Y0000R000S3 +317GND VIA MD0138PA00X+088425Y-035866X0276Y0000R000S3 +317GND VIA MD0138PA00X+039173Y-035433X0276Y0000R000S3 +317GND VIA MD0138PA00X+072165Y-037402X0276Y0000R000S3 +317GND VIA MD0138PA00X+091772Y-042165X0276Y0000R000S3 +317GND VIA MD0138PA00X+021220Y-046339X0276Y0000R000S3 +317GND VIA MD0138PA00X+057992Y-049528X0276Y0000R000S3 +317GND VIA MD0138PA00X+077402Y-048870X0276Y0000R000S3 +317GND VIA MD0138PA00X+025591Y-032480X0276Y0000R000S3 +317GND VIA MD0138PA00X+025376Y-034481X0276Y0000R000S3 +317GND VIA MD0138PA00X+025984Y-049685X0276Y0000R000S3 +317GND VIA MD0138PA00X+039449Y-033937X0276Y0000R000S3 +317GND VIA MD0138PA00X+060000Y-040236X0276Y0000R000S3 +317GND VIA MD0138PA00X+045630Y-038543X0276Y0000R000S3 +317GND VIA MD0138PA00X+026417Y-039724X0276Y0000R000S3 +317GND VIA MD0138PA00X+081181Y-043858X0276Y0000R000S3 +317GND VIA MD0138PA00X+030709Y-030556X0276Y0000R000S3 +317GND VIA MD0138PA00X+040647Y-036054X0276Y0000R000S3 +317GND VIA MD0138PA00X+036102Y-031142X0276Y0000R000S3 +317GND VIA MD0138PA00X+023622Y-031343X0276Y0000R000S3 +317GND VIA MD0138PA00X+072283Y-040709X0276Y0000R000S3 +317GND VIA MD0138PA00X+025249Y-035375X0276Y0000R000S3 +317GND VIA MD0138PA00X+035512Y-046575X0276Y0000R000S3 +317GND VIA MD0138PA00X+082244Y-046496X0276Y0000R000S3 +317GND VIA MD0138PA00X+088110Y-042165X0276Y0000R000S3 +317GND VIA MD0138PA00X+032480Y-030556X0276Y0000R000S3 +317GND VIA MD0138PA00X+039567Y-035197X0276Y0000R000S3 +317GND VIA MD0138PA00X+084961Y-035709X0276Y0000R000S3 +317GND VIA MD0138PA00X+024213Y-030556X0276Y0000R000S3 +317GND VIA MD0138PA00X+026417Y-042283X0276Y0000R000S3 +317GND VIA MD0138PA00X+078504Y-032913X0276Y0000R000S3 +317GND VIA MD0138PA00X+021181Y-044567X0276Y0000R000S3 +317GND VIA MD0138PA00X+026498Y-035430X0276Y0000R000S3 +317ESP_EN VIA MD0138PA00X+086693Y-040866X0276Y0000R000S3 +317ESP_EN VIA MD0138PA00X+086024Y-044213X0276Y0000R000S3 +317ACC_INT VIA MD0157PA00X+030905Y-040038X0315Y0000R000S3 +317ACC_INT VIA MD0157PA00X+032205Y-033543X0315Y0000R000S3 +317/VUSB_RAW VIA MD0197PA00X+092700Y-041118X0315Y0000R000S3 +317/VUSB_RAW VIA MD0197PA00X+092717Y-039173X0315Y0000R000S3 +317/VUSB_RAW VIA MD0118PA00X+091260Y-040176X0236Y0000R000S3 +317/D+_1 VIA MD0118PA00X+094092Y-039743X0236Y0000R000S3 +317/D+_1 VIA MD0118PA00X+094090Y-040397X0236Y0000R000S3 +317IR_VCC VIA MD0138PA00X+028976Y-044370X0276Y0000R000S3 +317IR_VCC VIA MD0138PA00X+023583Y-044764X0276Y0000R000S3 +327NET-(D2-A) D2 -2 A01X+024873Y-040659X0591Y1969R270S2 +327GND D2 -1 A01X+024873Y-039656X0591Y1969R270S2 +327VBUS D1 -2 A01X+089331Y-047618X0354Y0472R090S2 +327NET-(D1-K) D1 -1 A01X+089331Y-046319X0354Y0472R090S2 +327NET-(Q2B-B2) R14 -2 A01X+087234Y-041439X0315Y0374R180S2 +327RTS R14 -1 A01X+087884Y-041439X0315Y0374R180S2 +327N/C J3 -MP A01X+087854Y-043346X0630Y1181R270S2 +327N/C J3 -MP A01X+087854Y-046024X0630Y1181R270S2 +327GND J3 -2 A01X+088740Y-044291X0394Y2165R270S2 +327+BATT J3 -1 A01X+088740Y-045079X0394Y2165R270S2 +327NET-(Q3-D) R16 -2 A01X+038194Y-043310X0315Y0374R180S2 +327/LEDA#4 R16 -1 A01X+038844Y-043310X0315Y0374R180S2 +327GND C24 -2 A01X+089872Y-042992X0354Y0374R000S2 +327NET-(U3-V3) C24 -1 A01X+089262Y-042992X0354Y0374R000S2 +327+3.3V R25 -2 A01X+036998Y-042126X0315Y0374R180S2 +327NET-(Q3-G) R25 -1 A01X+037648Y-042126X0315Y0374R180S2 +327NET-(U3-V3) U8 -5 A01X+092402Y-043307X0522Y0236R180S2 +327D-(U8-NC-PAD4) U8 -4 A01X+092402Y-042559X0522Y0236R180S2 +327VBUS U8 -3 A01X+093297Y-042559X0522Y0236R180S2 +327GND U8 -2 A01X+093297Y-042933X0522Y0236R180S2 +327VBUS U8 -1 A01X+093297Y-043307X0522Y0236R180S2 +327+3.3V C8 -2 A01X+034921Y-036594X0394Y0571R270S2 +327GND C8 -1 A01X+034921Y-037343X0394Y0571R270S2 +327+3.3V C13 -2 A01X+026850Y-036850X0394Y0571R000S2 +327GND C13 -1 A01X+026102Y-036850X0394Y0571R000S2 +327VBUS C1 -2 A01X+093809Y-045276X0354Y0374R000S2 +327GND C1 -1 A01X+093199Y-045276X0354Y0374R000S2 +327NET-(Q5-D) Q5 -3 A01X+024434Y-043110X0581Y0236R180S2 +327+3.3V Q5 -2 A01X+025172Y-042736X0581Y0236R180S2 +327NET-(Q5-G) Q5 -1 A01X+025172Y-043484X0581Y0236R180S2 +327+3.3V U2 -5 A01X+033489Y-042579X0522Y0236R180S2 +327D-(U2-NC-PAD4) U2 -4 A01X+033489Y-041831X0522Y0236R180S2 +327NET-(U2-CE) U2 -3 A01X+034385Y-041831X0522Y0236R180S2 +327GND U2 -2 A01X+034385Y-042205X0522Y0236R180S2 +327NET-(U2-CE) U2 -1 A01X+034385Y-042579X0522Y0236R180S2 +327+BATT Q1 -3 A01X+087734Y-047224X0581Y0236R180S2 +327NET-(D1-K) Q1 -2 A01X+088472Y-046850X0581Y0236R180S2 +327VBUS Q1 -1 A01X+088472Y-047598X0581Y0236R180S2 +327/TP_NRST C23 -2 A01X+038612Y-035827X0354Y0374R000S2 +327GND C23 -1 A01X+038002Y-035827X0354Y0374R000S2 +317GND U7 -49 D0098PA00X+031201Y-036988X0197Y0000R000S3 +317GND U7 -49 D0098PA00X+031201Y-036516X0197Y0000R000S3 +317GND U7 -49 D0098PA00X+031201Y-036043X0197Y0000R000S3 +317GND U7 -49 D0098PA00X+031201Y-035571X0197Y0000R000S3 +317GND U7 -49 D0098PA00X+031201Y-035098X0197Y0000R000S3 +317GND U7 -49 D0098PA00X+030728Y-036988X0197Y0000R000S3 +317GND U7 -49 D0098PA00X+030728Y-036516X0197Y0000R000S3 +317GND U7 -49 D0098PA00X+030728Y-036043X0197Y0000R000S3 +317GND U7 -49 D0098PA00X+030728Y-035571X0197Y0000R000S3 +317GND U7 -49 D0098PA00X+030728Y-035098X0197Y0000R000S3 +317GND U7 -49 D0098PA00X+030256Y-036988X0197Y0000R000S3 +317GND U7 -49 D0098PA00X+030256Y-036516X0197Y0000R000S3 +327GND U7 -49 A02X+030256Y-036043X2087Y2087R000S3 +327GND U7 -49 A01X+030256Y-036043X2087Y2087R000S2 +317GND U7 -49 D0098PA00X+030256Y-036043X0197Y0000R000S3 +317GND U7 -49 D0098PA00X+030256Y-035571X0197Y0000R000S3 +317GND U7 -49 D0098PA00X+030256Y-035098X0197Y0000R000S3 +317GND U7 -49 D0098PA00X+029783Y-036988X0197Y0000R000S3 +317GND U7 -49 D0098PA00X+029783Y-036516X0197Y0000R000S3 +317GND U7 -49 D0098PA00X+029783Y-036043X0197Y0000R000S3 +317GND U7 -49 D0098PA00X+029783Y-035571X0197Y0000R000S3 +317GND U7 -49 D0098PA00X+029783Y-035098X0197Y0000R000S3 +317GND U7 -49 D0098PA00X+029311Y-036988X0197Y0000R000S3 +317GND U7 -49 D0098PA00X+029311Y-036516X0197Y0000R000S3 +317GND U7 -49 D0098PA00X+029311Y-036043X0197Y0000R000S3 +317GND U7 -49 D0098PA00X+029311Y-035571X0197Y0000R000S3 +317GND U7 -49 D0098PA00X+029311Y-035098X0197Y0000R000S3 +327CAP1_NC-PAD48) U7 -48 A01X+029173Y-034752X0118Y0197R000S2 +327CAP2_NC-PAD47) U7 -47 A01X+029370Y-034752X0118Y0197R000S2 +327+3.3V U7 -46 A01X+029567Y-034752X0118Y0197R000S2 +327AL_P_NC-PAD45) U7 -45 A01X+029764Y-034752X0118Y0197R000S2 +327AL_N_NC-PAD44) U7 -44 A01X+029961Y-034752X0118Y0197R000S2 +327+3.3V U7 -43 A01X+030157Y-034752X0118Y0197R000S2 +327CRG_STAT U7 -42 A01X+030354Y-034752X0118Y0197R000S2 +327USB_TXD U7 -41 A01X+030551Y-034752X0118Y0197R000S2 +327USB_RXD U7 -40 A01X+030748Y-034752X0118Y0197R000S2 +327SCL U7 -39 A01X+030945Y-034752X0118Y0197R000S2 +327SDA U7 -38 A01X+031142Y-034752X0118Y0197R000S2 +327+3.3V U7 -37 A01X+031339Y-034752X0118Y0197R000S2 +327LCD_MOSI U7 -36 A01X+031547Y-034961X0197Y0118R000S2 +327LCD_SCK U7 -35 A01X+031547Y-035157X0197Y0118R000S2 +327LCD_CS U7 -34 A01X+031547Y-035354X0197Y0118R000S2 +327(U7-SD1-PAD33) U7 -33 A01X+031547Y-035551X0197Y0118R000S2 +327(U7-SD0-PAD32) U7 -32 A01X+031547Y-035748X0197Y0118R000S2 +327(U7-CLK-PAD31) U7 -31 A01X+031547Y-035945X0197Y0118R000S2 +327(U7-CMD-PAD30) U7 -30 A01X+031547Y-036142X0197Y0118R000S2 +327LCD_EN U7 -29 A01X+031547Y-036339X0197Y0118R000S2 +327LCD_DC U7 -28 A01X+031547Y-036535X0197Y0118R000S2 +327U7-IO17-PAD27) U7 -27 A01X+031547Y-036732X0197Y0118R000S2 +327DD_SDIO-PAD26) U7 -26 A01X+031547Y-036929X0197Y0118R000S2 +327U7-IO16-PAD25) U7 -25 A01X+031547Y-037126X0197Y0118R000S2 +327LCD_BL U7 -24 A01X+031339Y-037335X0118Y0197R000S2 +327IO0 U7 -23 A01X+031142Y-037335X0118Y0197R000S2 +327NET-(D3-A) U7 -22 A01X+030945Y-037335X0118Y0197R000S2 +327IR_RX U7 -21 A01X+030748Y-037335X0118Y0197R000S2 +327ACC_INT U7 -20 A01X+030551Y-037335X0118Y0197R000S2 +327+3.3V U7 -19 A01X+030354Y-037335X0118Y0197R000S2 +327SW_5 U7 -18 A01X+030157Y-037335X0118Y0197R000S2 +327SW_4 U7 -17 A01X+029961Y-037335X0118Y0197R000S2 +327SW_3 U7 -16 A01X+029764Y-037335X0118Y0197R000S2 +327SW_2 U7 -15 A01X+029567Y-037335X0118Y0197R000S2 +327IR_VCC U7 -14 A01X+029370Y-037335X0118Y0197R000S2 +327IR_LED U7 -13 A01X+029173Y-037335X0118Y0197R000S2 +327SW_1 U7 -12 A01X+028965Y-037126X0197Y0118R000S2 +327SW_E U7 -11 A01X+028965Y-036929X0197Y0118R000S2 +327SW_D U7 -10 A01X+028965Y-036732X0197Y0118R000S2 +327ESP_EN U7 -9 A01X+028965Y-036535X0197Y0118R000S2 +327SW_C U7 -8 A01X+028965Y-036339X0197Y0118R000S2 +327SW_B U7 -7 A01X+028965Y-036142X0197Y0118R000S2 +327SW_A U7 -6 A01X+028965Y-035945X0197Y0118R000S2 +327ADC_BAT U7 -5 A01X+028965Y-035748X0197Y0118R000S2 +327+3.3V U7 -4 A01X+028965Y-035551X0197Y0118R000S2 +327+3.3V U7 -3 A01X+028965Y-035354X0197Y0118R000S2 +327ET-(U7-LNA_IN) U7 -2 A01X+028965Y-035157X0197Y0118R000S2 +327+3.3V U7 -1 A01X+028965Y-034961X0197Y0118R000S2 +327U5-ADC1-PAD16) U5 -16 A01X+034823Y-033578X0315Y0118R180S2 +327U5-ADC2-PAD15) U5 -15 A01X+034823Y-033381X0315Y0118R180S2 +327+3.3V U5 -14 A01X+034823Y-033184X0315Y0118R180S2 +327U5-ADC3-PAD13) U5 -13 A01X+034941Y-032869X0315Y0118R270S2 +327GND U5 -12 A01X+035138Y-032869X0315Y0118R270S2 +327ACC_INT U5 -11 A01X+035335Y-032869X0315Y0118R270S2 +327GND U5 -10 A01X+035531Y-032869X0315Y0118R270S2 +327(U5-INT2-PAD9) U5 -9 A01X+035728Y-032869X0315Y0118R270S2 +327+3.3V U5 -8 A01X+035846Y-033184X0315Y0118R180S2 +327LASH}SA0-PAD7) U5 -7 A01X+035846Y-033381X0315Y0118R180S2 +327SDA U5 -6 A01X+035846Y-033578X0315Y0118R180S2 +327GND U5 -5 A01X+035728Y-033893X0315Y0118R090S2 +327SCL U5 -4 A01X+035531Y-033893X0315Y0118R090S2 +327D-(U5-NC-PAD3) U5 -3 A01X+035335Y-033893X0315Y0118R090S2 +327D-(U5-NC-PAD2) U5 -2 A01X+035138Y-033893X0315Y0118R090S2 +327+3.3V U5 -1 A01X+034941Y-033893X0315Y0118R090S2 +327+3.3V R20 -2 A01X+025888Y-042766X0315Y0374R270S2 +327NET-(Q5-G) R20 -1 A01X+025888Y-043415X0315Y0374R270S2 +327NET-(D3-A) D3 -2 A01X+034690Y-040039X0344Y0374R180S2 +327NET-(D3-K) D3 -1 A01X+035310Y-040039X0344Y0374R180S2 +327+VSW Q4 -3 A01X+034857Y-035630X0581Y0236R000S2 +327+3.3V Q4 -2 A01X+034119Y-036004X0581Y0236R000S2 +327NET-(Q4-G) Q4 -1 A01X+034119Y-035256X0581Y0236R000S2 +327ESP_EN Q2 -6 A01X+087146Y-040851X0256Y0157R180S2 +327NET-(Q2B-B2) Q2 -5 A01X+087146Y-040595X0256Y0157R180S2 +327DTR Q2 -4 A01X+087146Y-040339X0256Y0157R180S2 +327IO0 Q2 -3 A01X+087894Y-040339X0256Y0157R180S2 +327NET-(Q2A-B1) Q2 -2 A01X+087894Y-040595X0256Y0157R180S2 +327RTS Q2 -1 A01X+087894Y-040851X0256Y0157R180S2 +327+3.3V R22 -2 A01X+026850Y-045187X0315Y0374R270S2 +327ESP_EN R22 -1 A01X+026850Y-045837X0315Y0374R270S2 +327GND C20 -2 A01X+034478Y-043504X0354Y0374R000S2 +327ADC_BAT C20 -1 A01X+033868Y-043504X0354Y0374R000S2 +327+BATT C5 -2 A01X+091752Y-044512X0354Y0374R090S2 +327GND C5 -1 A01X+091752Y-043902X0354Y0374R090S2 +327N/C FID3 A01X+094291Y-046894X0394Y0000R000S2 +327NET-(Q3-D) R17 -2 A01X+038194Y-045082X0315Y0374R180S2 +327/LEDA#1 R17 -1 A01X+038844Y-045082X0315Y0374R180S2 +327+VSW R12 -2 A01X+037008Y-035226X0315Y0374R270S2 +327SDA R12 -1 A01X+037008Y-035876X0315Y0374R270S2 +327+VSW C25 -2 A01X+038425Y-037421X0394Y0571R090S2 +327GND C25 -1 A01X+038425Y-036673X0394Y0571R090S2 +327NET-(U6-OUT) R40 -2 A01X+022992Y-046093X0315Y0374R270S2 +327IR_RX R40 -1 A01X+022992Y-046742X0315Y0374R270S2 +317GND H2 -1 D0197PA00X+092629Y-033465X0315Y0000R000S0 +317GND H2 -1 D0197PA00X+092352Y-034133X0315Y0000R000S0 +317GND H2 -1 D0197PA00X+092352Y-032796X0315Y0000R000S0 +317GND H2 -1 D0197PA00X+091684Y-034409X0315Y0000R000S0 +317GND H2 -1 D1260PA00X+091684Y-033465X2520Y0000R000S0 +317GND H2 -1 D0197PA00X+091684Y-032520X0315Y0000R000S0 +317GND H2 -1 D0197PA00X+091016Y-034133X0315Y0000R000S0 +317GND H2 -1 D0197PA00X+091016Y-032796X0315Y0000R000S0 +317GND H2 -1 D0197PA00X+090739Y-033465X0315Y0000R000S0 +327GND C22 -2 A01X+029380Y-033937X0354Y0374R180S2 +327+3.3V C22 -1 A01X+029990Y-033937X0354Y0374R180S2 +327GND C2 -2 A01X+093976Y-042648X0354Y0374R270S2 +327VBUS C2 -1 A01X+093976Y-043258X0354Y0374R270S2 +327VBUS F1 -2 A01X+094286Y-037441X0561Y0689R000S2 +327/VUSB_RAW F1 -1 A01X+093115Y-037441X0561Y0689R000S2 +327ADC_BAT R24 -2 A01X+033848Y-044685X0315Y0374R180S2 +327GND R24 -1 A01X+034498Y-044685X0315Y0374R180S2 +327NET-(D5-A) D5 -2 A01X+025748Y-041462X0344Y0374R270S2 +327GND D5 -1 A01X+025748Y-042082X0344Y0374R270S2 +327NET-(D1-K) R23 -2 A01X+034498Y-044094X0315Y0374R000S2 +327ADC_BAT R23 -1 A01X+033848Y-044094X0315Y0374R000S2 +327GND C11 -2 A01X+026479Y-043396X0354Y0374R090S2 +327+3.3V C11 -1 A01X+026479Y-042785X0354Y0374R090S2 +327GND J2 -MP A01X+041226Y-034508X0945Y0945R090S2 +327GND J2 -MP A01X+041226Y-045807X0945Y0945R090S2 +327GND J2 -50 A01X+040163Y-035335X0108Y0472R090S3 +327GND J2 -49 A01X+040163Y-035531X0108Y0472R090S3 +327GND J2 -48 A01X+040163Y-035728X0108Y0472R090S3 +327/TP_NRST J2 -47 A01X+040163Y-035925X0108Y0472R090S3 +327-PIN_46-PAD46) J2 -46 A01X+040163Y-036122X0108Y0472R090S3 +327SDA J2 -45 A01X+040163Y-036319X0108Y0472R090S3 +327SCL J2 -44 A01X+040163Y-036516X0108Y0472R090S3 +327GND J2 -43 A01X+040163Y-036713X0108Y0472R090S3 +327+VSW J2 -42 A01X+040163Y-036909X0108Y0472R090S3 +327+VSW J2 -41 A01X+040163Y-037106X0108Y0472R090S3 +327+VSW J2 -40 A01X+040163Y-037303X0108Y0472R090S3 +327-PIN_39-PAD39) J2 -39 A01X+040163Y-037500X0108Y0472R090S3 +327LCD_CS J2 -38 A01X+040163Y-037697X0108Y0472R090S3 +327LCD_SCK J2 -37 A01X+040163Y-037894X0108Y0472R090S3 +327LCD_DC J2 -36 A01X+040163Y-038091X0108Y0472R090S3 +327-PIN_35-PAD35) J2 -35 A01X+040163Y-038287X0108Y0472R090S3 +327LCD_MOSI J2 -34 A01X+040163Y-038484X0108Y0472R090S3 +327-PIN_33-PAD33) J2 -33 A01X+040163Y-038681X0108Y0472R090S3 +327GND J2 -32 A01X+040163Y-038878X0108Y0472R090S3 +327GND J2 -31 A01X+040163Y-039075X0108Y0472R090S3 +327GND J2 -30 A01X+040163Y-039272X0108Y0472R090S3 +327GND J2 -29 A01X+040163Y-039469X0108Y0472R090S3 +327GND J2 -28 A01X+040163Y-039665X0108Y0472R090S3 +327GND J2 -27 A01X+040163Y-039862X0108Y0472R090S3 +327GND J2 -26 A01X+040163Y-040059X0108Y0472R090S3 +327GND J2 -25 A01X+040163Y-040256X0108Y0472R090S3 +327GND J2 -24 A01X+040163Y-040453X0108Y0472R090S3 +327GND J2 -23 A01X+040163Y-040650X0108Y0472R090S3 +327GND J2 -22 A01X+040163Y-040846X0108Y0472R090S3 +327GND J2 -21 A01X+040163Y-041043X0108Y0472R090S3 +327GND J2 -20 A01X+040163Y-041240X0108Y0472R090S3 +327GND J2 -19 A01X+040163Y-041437X0108Y0472R090S3 +327GND J2 -18 A01X+040163Y-041634X0108Y0472R090S3 +327GND J2 -17 A01X+040163Y-041831X0108Y0472R090S3 +327GND J2 -16 A01X+040163Y-042028X0108Y0472R090S3 +327GND J2 -15 A01X+040163Y-042224X0108Y0472R090S3 +327GND J2 -14 A01X+040163Y-042421X0108Y0472R090S3 +327GND J2 -13 A01X+040163Y-042618X0108Y0472R090S3 +327GND J2 -12 A01X+040163Y-042815X0108Y0472R090S3 +327GND J2 -11 A01X+040163Y-043012X0108Y0472R090S3 +327/LCD_NRST J2 -10 A01X+040163Y-043209X0108Y0472R090S3 +327+VSW J2 -9 A01X+040163Y-043406X0108Y0472R090S3 +327+VSW J2 -8 A01X+040163Y-043602X0108Y0472R090S3 +327+VSW J2 -7 A01X+040163Y-043799X0108Y0472R090S3 +327GND J2 -6 A01X+040163Y-043996X0108Y0472R090S3 +327/LEDA#4 J2 -5 A01X+040163Y-044193X0108Y0472R090S3 +327/LEDA#3 J2 -4 A01X+040163Y-044390X0108Y0472R090S3 +327/LEDA#2 J2 -3 A01X+040163Y-044587X0108Y0472R090S3 +327/LEDA#1 J2 -2 A01X+040163Y-044783X0108Y0472R090S3 +327GND J2 -1 A01X+040163Y-044980X0108Y0472R090S3 +327NET-(U1-PROG) U1 -5 A01X+093755Y-043917X0522Y0236R000S2 +327VBUS U1 -4 A01X+093755Y-044665X0522Y0236R000S2 +327+BATT U1 -3 A01X+092859Y-044665X0522Y0236R000S2 +327GND U1 -2 A01X+092859Y-044291X0522Y0236R000S2 +327CRG_STAT U1 -1 A01X+092859Y-043917X0522Y0236R000S2 +327NET-(U3-V3) U3 -16 A01X+088789Y-042400X0768Y0236R180S2 +327U3-R232-PAD15) U3 -15 A01X+088789Y-041900X0768Y0236R180S2 +327RTS U3 -14 A01X+088789Y-041400X0768Y0236R180S2 +327DTR U3 -13 A01X+088789Y-040900X0768Y0236R180S2 +327-~{DCD}-PAD12) U3 -12 A01X+088789Y-040400X0768Y0236R180S2 +3273-~{RI}-PAD11) U3 -11 A01X+088789Y-039900X0768Y0236R180S2 +327-~{DSR}-PAD10) U3 -10 A01X+088789Y-039400X0768Y0236R180S2 +3273-~{CTS}-PAD9) U3 -9 A01X+088789Y-038900X0768Y0236R180S2 +327D-(U3-NC-PAD8) U3 -8 A01X+090738Y-038900X0768Y0236R180S2 +327D-(U3-NC-PAD7) U3 -7 A01X+090738Y-039400X0768Y0236R180S2 +327/D-_2 U3 -6 A01X+090738Y-039900X0768Y0236R180S2 +327/D+_2 U3 -5 A01X+090738Y-040400X0768Y0236R180S2 +327NET-(U3-V3) U3 -4 A01X+090738Y-040900X0768Y0236R180S2 +327USB_TXD U3 -3 A01X+090738Y-041400X0768Y0236R180S2 +327USB_RXD U3 -2 A01X+090738Y-041900X0768Y0236R180S2 +327GND U3 -1 A01X+090738Y-042400X0768Y0236R180S2 +327GND U6 -4 A01X+022087Y-045039X0787Y0315R180S2 +327IR_VCC U6 -3 A01X+022087Y-045537X0787Y0315R180S2 +327NET-(U6-OUT) U6 -2 A01X+022087Y-046037X0787Y0315R180S2 +327GND U6 -1 A01X+022087Y-046537X0787Y0315R180S2 +327GND R33 -2 A01X+052362Y-048031X0315Y0374R000S2 +327SW_C R33 -1 A01X+051713Y-048031X0315Y0374R000S2 +327NET-(D4-K) R39 -2 A01X+091614Y-038356X0315Y0374R090S2 +327CRG_STAT R39 -1 A01X+091614Y-037707X0315Y0374R090S2 +327ET-(U7-LNA_IN) C18 -2 A01X+028189Y-035014X0354Y0374R090S2 +327GND C18 -1 A01X+028189Y-034404X0354Y0374R090S2 +327NET-(Q3-D) C16 -2 A01X+037539Y-044291X0394Y0571R270S2 +327GND C16 -1 A01X+037539Y-045039X0394Y0571R270S2 +327NET-(Q3-D) R15 -2 A01X+038194Y-044491X0315Y0374R180S2 +327/LEDA#2 R15 -1 A01X+038844Y-044491X0315Y0374R180S2 +327N/C FID4 A01X+024410Y-031343X0394Y0000R000S2 +327VBUS D4 -2 A01X+092205Y-037721X0344Y0374R270S2 +327NET-(D4-K) D4 -1 A01X+092205Y-038342X0344Y0374R270S2 +327GND C4 -2 A01X+091749Y-042685X0354Y0374R270S2 +327NET-(U3-V3) C4 -1 A01X+091749Y-043295X0354Y0374R270S2 +327NET-(Q3-D) R18 -2 A01X+038194Y-043901X0315Y0374R180S2 +327/LEDA#3 R18 -1 A01X+038844Y-043901X0315Y0374R180S2 +327VBUS R6 -2 A01X+086969Y-047648X0315Y0374R090S2 +327GND R6 -1 A01X+086969Y-046998X0315Y0374R090S2 +327GND C10 -2 A01X+033740Y-032884X0354Y0374R270S2 +327+3.3V C10 -1 A01X+033740Y-033494X0354Y0374R270S2 +327IR_LED R27 -2 A01X+026503Y-043976X0315Y0374R000S2 +327NET-(Q5-G) R27 -1 A01X+025854Y-043976X0315Y0374R000S2 +327+3.3V R37 -2 A01X+031900Y-038189X0315Y0374R000S2 +327IO0 R37 -1 A01X+031250Y-038189X0315Y0374R000S2 +327ET-(U7-LNA_IN) R36 -2 A01X+027628Y-035005X0315Y0374R000S2 +327NET-(AE1-A) R36 -1 A01X+026978Y-035005X0315Y0374R000S2 +367N/C H7 D0984UA00X+033071Y-040157X0984Y0000R000S0 +327LCD_BL R29 -2 A01X+036998Y-041496X0315Y0374R180S2 +327NET-(Q3-G) R29 -1 A01X+037648Y-041496X0315Y0374R180S2 +327+3.3V C7 -2 A01X+034134Y-036594X0394Y0571R270S2 +327GND C7 -1 A01X+034134Y-037343X0394Y0571R270S2 +327+3.3V R26 -2 A01X+033425Y-036122X0315Y0374R090S2 +327NET-(Q4-G) R26 -1 A01X+033425Y-035472X0315Y0374R090S2 +327NET-(AE1-A) C19 -2 A01X+026417Y-035014X0354Y0374R090S2 +327GND C19 -1 A01X+026417Y-034404X0354Y0374R090S2 +327/D+_2 U4 -6 A01X+091673Y-040389X0197Y0148R180S2 +327/VUSB_RAW U4 -5 A01X+091644Y-040177X0256Y0118R180S2 +327/D-_2 U4 -4 A01X+091673Y-039966X0197Y0148R180S2 +327/D-_1 U4 -3 A01X+092343Y-039966X0197Y0148R180S2 +327GND U4 -2 A01X+092372Y-040177X0256Y0118R180S2 +327/D+_1 U4 -1 A01X+092343Y-040389X0197Y0148R180S2 +327GND C14 -2 A01X+026270Y-036161X0354Y0374R180S2 +327+3.3V C14 -1 A01X+026880Y-036161X0354Y0374R180S2 +317GND H5 -1 D0197PA00X+065070Y-048425X0315Y0000R000S0 +317GND H5 -1 D0197PA00X+064793Y-049093X0315Y0000R000S0 +317GND H5 -1 D0197PA00X+064793Y-047757X0315Y0000R000S0 +317GND H5 -1 D0197PA00X+064125Y-049370X0315Y0000R000S0 +317GND H5 -1 D1260PA00X+064125Y-048425X2520Y0000R000S0 +317GND H5 -1 D0197PA00X+064125Y-047480X0315Y0000R000S0 +317GND H5 -1 D0197PA00X+063457Y-049093X0315Y0000R000S0 +317GND H5 -1 D0197PA00X+063457Y-047757X0315Y0000R000S0 +317GND H5 -1 D0197PA00X+063180Y-048425X0315Y0000R000S0 +317GND H4 -1 D0197PA00X+022645Y-042552X0315Y0000R338S0 +317GND H4 -1 D0197PA00X+022645Y-043275X0315Y0000R338S0 +317GND H4 -1 D0197PA00X+022133Y-042040X0315Y0000R338S0 +317GND H4 -1 D0197PA00X+022133Y-043786X0315Y0000R338S0 +317GND H4 -1 D1260PA00X+021772Y-042913X2520Y0000R338S0 +317GND H4 -1 D0197PA00X+021410Y-042040X0315Y0000R338S0 +317GND H4 -1 D0197PA00X+021410Y-043786X0315Y0000R338S0 +317GND H4 -1 D0197PA00X+020899Y-042552X0315Y0000R338S0 +317GND H4 -1 D0197PA00X+020899Y-043275X0315Y0000R338S0 +327NET-(U2-CE) C3 -2 A01X+035157Y-042579X0394Y0571R090S2 +327GND C3 -1 A01X+035157Y-041831X0394Y0571R090S2 +327GND C17 -2 A01X+034331Y-032884X0354Y0374R270S2 +327+3.3V C17 -1 A01X+034331Y-033494X0354Y0374R270S2 +317+BATT J4 -2 D0394PA00X+090748Y-044474X0669Y0669R000S0 +317GND J4 -1 D0394PA00X+090748Y-043474X0669Y0669R000S0 +327GND C6 -2 A01X+032717Y-041831X0394Y0571R270S2 +327+3.3V C6 -1 A01X+032717Y-042579X0394Y0571R270S2 +327GND R32 -2 A01X+052362Y-048622X0315Y0374R000S2 +327SW_B R32 -1 A01X+051713Y-048622X0315Y0374R000S2 +327GND R31 -2 A01X+052362Y-049213X0315Y0374R000S2 +327SW_A R31 -1 A01X+051713Y-049213X0315Y0374R000S2 +327/LCD_NRST R19 -2 A01X+038794Y-042096X0315Y0374R270S2 +327+VSW R19 -1 A01X+038794Y-042746X0315Y0374R270S2 +327GND R34 -2 A01X+052372Y-047323X0315Y0374R000S2 +327SW_D R34 -1 A01X+051722Y-047323X0315Y0374R000S2 +327NET-(U1-PROG) R8 -2 A01X+094409Y-043888X0315Y0374R270S2 +327GND R8 -1 A01X+094409Y-044537X0315Y0374R270S2 +327LCD_EN R28 -2 A01X+032849Y-036122X0315Y0374R090S2 +327NET-(Q4-G) R28 -1 A01X+032849Y-035472X0315Y0374R090S2 +327NET-(Q5-D) R1 -2 A01X+025157Y-042096X0315Y0374R090S2 +327NET-(D5-A) R1 -1 A01X+025157Y-041447X0315Y0374R090S2 +327GND R35 -2 A01X+052372Y-046732X0315Y0374R000S2 +327SW_E R35 -1 A01X+051722Y-046732X0315Y0374R000S2 +327NET-(AE1-A) AE1 -1 A01X+022913Y-033745X0394Y0787R180S2 +327N/C AE1 A01X+020787Y-033745X0394Y0787R000S2 +327NET-(D1-K) R10 -2 A01X+035157Y-043829X0315Y0374R090S2 +327NET-(U2-CE) R10 -1 A01X+035157Y-043179X0315Y0374R090S2 +317GND H1 -1 D0197PA00X+065070Y-031890X0315Y0000R000S0 +317GND H1 -1 D0197PA00X+064793Y-032558X0315Y0000R000S0 +317GND H1 -1 D0197PA00X+064793Y-031222X0315Y0000R000S0 +317GND H1 -1 D0197PA00X+064125Y-032835X0315Y0000R000S0 +317GND H1 -1 D1260PA00X+064125Y-031890X2520Y0000R000S0 +317GND H1 -1 D0197PA00X+064125Y-030945X0315Y0000R000S0 +317GND H1 -1 D0197PA00X+063457Y-032558X0315Y0000R000S0 +317GND H1 -1 D0197PA00X+063457Y-031222X0315Y0000R000S0 +317GND H1 -1 D0197PA00X+063180Y-031890X0315Y0000R000S0 +327+VSW R11 -2 A01X+036417Y-035226X0315Y0374R270S2 +327SCL R11 -1 A01X+036417Y-035876X0315Y0374R270S2 +327GND C12 -2 A01X+022992Y-044931X0354Y0374R270S2 +327IR_VCC C12 -1 A01X+022992Y-045541X0354Y0374R270S2 +327NET-(J1-CC1) R9 -2 A01X+092283Y-040896X0315Y0374R270S2 +327GND R9 -1 A01X+092283Y-041545X0315Y0374R270S2 +327ESP_EN C15 -2 A01X+026929Y-047805X0354Y0374R270S2 +327GND C15 -1 A01X+026929Y-048415X0354Y0374R270S2 +327GND C9 -2 A01X+039134Y-036663X0354Y0374R270S2 +327+VSW C9 -1 A01X+039134Y-037274X0354Y0374R270S2 +327ESP_EN S26 -2 A01X+027657Y-047795X0669Y0394R180S2 +327ESP_EN S26 -2 A01X+030138Y-047795X0669Y0394R180S2 +327GND S26 -1 A01X+027657Y-049291X0669Y0394R180S2 +327GND S26 -1 A01X+030138Y-049291X0669Y0394R180S2 +327NET-(Q2A-B1) R13 -2 A01X+087849Y-039744X0315Y0374R000S2 +327DTR R13 -1 A01X+087199Y-039744X0315Y0374R000S2 +327NET-(D2-A) R21 -2 A01X+024449Y-041398X0404Y0551R270S2 +327NET-(Q5-D) R21 -1 A01X+024449Y-042116X0404Y0551R270S2 +317GND J1 -S1 D0236PA00X+095295Y-038457X0394Y0630R270S0 +317GND J1 -S1 D0236PA00X+093650Y-038457X0394Y0827R270S0 +317GND J1 -S1 D0236PA00X+095295Y-041858X0394Y0630R270S0 +317GND J1 -S1 D0236PA00X+093650Y-041858X0394Y0827R270S0 +327GND J1 -B12 A01X+093289Y-041437X0236Y0571R270S2 +327/VUSB_RAW J1 -B9 A01X+093289Y-041122X0236Y0571R270S2 +327GND J1 -B8 A01X+093289Y-040846X0118Y0571R270S2 +327/D-_1 J1 -B7 A01X+093289Y-040453X0118Y0571R270S2 +327/D+_1 J1 -B6 A01X+093289Y-039862X0118Y0571R270S2 +327NET-(J1-CC2) J1 -B5 A01X+093289Y-039469X0118Y0571R270S2 +327/VUSB_RAW J1 -B4 A01X+093289Y-039193X0236Y0571R270S2 +327GND J1 -B1 A01X+093289Y-038878X0236Y0571R270S2 +327GND J1 -A12 A01X+093289Y-038878X0236Y0571R270S2 +327/VUSB_RAW J1 -A9 A01X+093289Y-039193X0236Y0571R270S2 +327GND J1 -A8 A01X+093289Y-039665X0118Y0571R270S2 +327/D-_1 J1 -A7 A01X+093289Y-040059X0118Y0571R270S2 +327/D+_1 J1 -A6 A01X+093289Y-040256X0118Y0571R270S2 +327NET-(J1-CC1) J1 -A5 A01X+093289Y-040650X0118Y0571R270S2 +327/VUSB_RAW J1 -A4 A01X+093289Y-041122X0236Y0571R270S2 +327GND J1 -A1 A01X+093289Y-041437X0236Y0571R270S2 +367N/C J1 D0256UA00X+093858Y-039020X0256Y0000R270S0 +367N/C J1 D0256UA00X+093858Y-041295X0256Y0000R270S0 +327/TP_NRST R30 -2 A01X+038632Y-035236X0315Y0374R000S2 +327+VSW R30 -1 A01X+037982Y-035236X0315Y0374R000S2 +317GND H3 -1 D0197PA00X+092629Y-046850X0315Y0000R000S0 +317GND H3 -1 D0197PA00X+092352Y-047519X0315Y0000R000S0 +317GND H3 -1 D0197PA00X+092352Y-046182X0315Y0000R000S0 +317GND H3 -1 D0197PA00X+091684Y-047795X0315Y0000R000S0 +317GND H3 -1 D1260PA00X+091684Y-046850X2520Y0000R000S0 +317GND H3 -1 D0197PA00X+091684Y-045906X0315Y0000R000S0 +317GND H3 -1 D0197PA00X+091016Y-047519X0315Y0000R000S0 +317GND H3 -1 D0197PA00X+091016Y-046182X0315Y0000R000S0 +317GND H3 -1 D0197PA00X+090739Y-046850X0315Y0000R000S0 +367N/C H6 D0984UA00X+047047Y-040157X0984Y0000R000S0 +327NET-(D3-K) R38 -2 A01X+035325Y-040630X0315Y0374R000S2 +327GND R38 -1 A01X+034675Y-040630X0315Y0374R000S2 +327+3.3V C21 -2 A01X+026850Y-037638X0394Y0571R000S2 +327GND C21 -1 A01X+026102Y-037638X0394Y0571R000S2 +327NET-(Q3-D) Q3 -3 A01X+037244Y-043597X0581Y0236R090S2 +327+3.3V Q3 -2 A01X+036870Y-042859X0581Y0236R090S2 +327NET-(Q3-G) Q3 -1 A01X+037618Y-042859X0581Y0236R090S2 +327NET-(J1-CC2) R7 -2 A01X+092254Y-039331X0315Y0374R000S2 +327GND R7 -1 A01X+091604Y-039331X0315Y0374R000S2 +327SW_E S24 -2 A02X+054932Y-036982X0669Y0394R000S1 +327SW_E S24 -2 A02X+052451Y-036982X0669Y0394R000S1 +327SW_4 S24 -1 A02X+054932Y-038478X0669Y0394R000S1 +327SW_4 S24 -1 A02X+052451Y-038478X0669Y0394R000S1 +327SW_D S17 -2 A02X+022770Y-048093X0669Y0394R090S1 +327SW_D S17 -2 A02X+022770Y-045612X0669Y0394R090S1 +327SW_2 S17 -1 A02X+021274Y-048093X0669Y0394R090S1 +327SW_2 S17 -1 A02X+021274Y-045612X0669Y0394R090S1 +327SW_A S3 -2 A02X+083081Y-033504X0669Y0394R000S1 +327SW_A S3 -2 A02X+080601Y-033504X0669Y0394R000S1 +327SW_3 S3 -1 A02X+083081Y-035000X0669Y0394R000S1 +327SW_3 S3 -1 A02X+080601Y-035000X0669Y0394R000S1 +327SW_B S6 -2 A02X+059656Y-046693X0669Y0394R000S1 +327SW_B S6 -2 A02X+057176Y-046693X0669Y0394R000S1 +327SW_1 S6 -1 A02X+059656Y-048189X0669Y0394R000S1 +327SW_1 S6 -1 A02X+057176Y-048189X0669Y0394R000S1 +327SW_A S2 -2 A02X+076388Y-045315X0669Y0394R000S1 +327SW_A S2 -2 A02X+073908Y-045315X0669Y0394R000S1 +327SW_2 S2 -1 A02X+076388Y-046811X0669Y0394R000S1 +327SW_2 S2 -1 A02X+073908Y-046811X0669Y0394R000S1 +327SW_E S25 -2 A02X+054932Y-032126X0669Y0394R000S1 +327SW_E S25 -2 A02X+052451Y-032126X0669Y0394R000S1 +327SW_5 S25 -1 A02X+054932Y-033622X0669Y0394R000S1 +327SW_5 S25 -1 A02X+052451Y-033622X0669Y0394R000S1 +327SW_A S5 -2 A02X+083081Y-039409X0669Y0394R000S1 +327SW_A S5 -2 A02X+080601Y-039409X0669Y0394R000S1 +327SW_5 S5 -1 A02X+083081Y-040906X0669Y0394R000S1 +327SW_5 S5 -1 A02X+080601Y-040906X0669Y0394R000S1 +327N/C FID1 A02X+094291Y-046894X0394Y0000R180S1 +327SW_C S12 -2 A02X+083081Y-045315X0669Y0394R000S1 +327SW_C S12 -2 A02X+080601Y-045315X0669Y0394R000S1 +327SW_2 S12 -1 A02X+083081Y-046811X0669Y0394R000S1 +327SW_2 S12 -1 A02X+080601Y-046811X0669Y0394R000S1 +327SW_A S4 -2 A02X+076388Y-039409X0669Y0394R000S1 +327SW_A S4 -2 A02X+073908Y-039409X0669Y0394R000S1 +327SW_4 S4 -1 A02X+076388Y-040906X0669Y0394R000S1 +327SW_4 S4 -1 A02X+073908Y-040906X0669Y0394R000S1 +327SW_C S15 -2 A02X+088003Y-037310X0669Y0394R000S1 +327SW_C S15 -2 A02X+085522Y-037310X0669Y0394R000S1 +327SW_5 S15 -1 A02X+088003Y-038806X0669Y0394R000S1 +327SW_5 S15 -1 A02X+085522Y-038806X0669Y0394R000S1 +327SW_B S7 -2 A02X+065365Y-044134X0669Y0394R000S1 +327SW_B S7 -2 A02X+062885Y-044134X0669Y0394R000S1 +327SW_2 S7 -1 A02X+065365Y-045630X0669Y0394R000S1 +327SW_2 S7 -1 A02X+062885Y-045630X0669Y0394R000S1 +327SW_E S23 -2 A02X+059656Y-032126X0669Y0394R000S1 +327SW_E S23 -2 A02X+057176Y-032126X0669Y0394R000S1 +327SW_3 S23 -1 A02X+059656Y-033622X0669Y0394R000S1 +327SW_3 S23 -1 A02X+057176Y-033622X0669Y0394R000S1 +327SW_E S22 -2 A02X+054932Y-041837X0669Y0394R000S1 +327SW_E S22 -2 A02X+052451Y-041837X0669Y0394R000S1 +327SW_2 S22 -1 A02X+054932Y-043333X0669Y0394R000S1 +327SW_2 S22 -1 A02X+052451Y-043333X0669Y0394R000S1 +327N/C FID2 A02X+024410Y-031343X0394Y0000R180S1 +327SW_C S14 -2 A02X+088003Y-041509X0669Y0394R000S1 +327SW_C S14 -2 A02X+085522Y-041509X0669Y0394R000S1 +327SW_4 S14 -1 A02X+088003Y-043005X0669Y0394R000S1 +327SW_4 S14 -1 A02X+085522Y-043005X0669Y0394R000S1 +327SW_B S8 -2 A02X+076388Y-033504X0669Y0394R000S1 +327SW_B S8 -2 A02X+073908Y-033504X0669Y0394R000S1 +327SW_3 S8 -1 A02X+076388Y-035000X0669Y0394R000S1 +327SW_3 S8 -1 A02X+073908Y-035000X0669Y0394R000S1 +327SW_D S19 -2 A02X+060640Y-039409X0669Y0394R000S1 +327SW_D S19 -2 A02X+058160Y-039409X0669Y0394R000S1 +327SW_4 S19 -1 A02X+060640Y-040906X0669Y0394R000S1 +327SW_4 S19 -1 A02X+058160Y-040906X0669Y0394R000S1 +327SW_D S20 -2 A02X+065365Y-034685X0669Y0394R000S1 +327SW_D S20 -2 A02X+062885Y-034685X0669Y0394R000S1 +327SW_5 S20 -1 A02X+065365Y-036181X0669Y0394R000S1 +327SW_5 S20 -1 A02X+062885Y-036181X0669Y0394R000S1 +327SW_D S18 -2 A02X+071073Y-032126X0669Y0394R000S1 +327SW_D S18 -2 A02X+068593Y-032126X0669Y0394R000S1 +327SW_3 S18 -1 A02X+071073Y-033622X0669Y0394R000S1 +327SW_3 S18 -1 A02X+068593Y-033622X0669Y0394R000S1 +327SW_C S11 -2 A02X+088003Y-045709X0669Y0394R000S1 +327SW_C S11 -2 A02X+085522Y-045709X0669Y0394R000S1 +327SW_1 S11 -1 A02X+088003Y-047205X0669Y0394R000S1 +327SW_1 S11 -1 A02X+085522Y-047205X0669Y0394R000S1 +327SW_B S9 -2 A02X+065365Y-039409X0669Y0394R000S1 +327SW_B S9 -2 A02X+062885Y-039409X0669Y0394R000S1 +327SW_4 S9 -1 A02X+065365Y-040906X0669Y0394R000S1 +327SW_4 S9 -1 A02X+062885Y-040906X0669Y0394R000S1 +327SW_B S10 -2 A02X+070089Y-039409X0669Y0394R000S1 +327SW_B S10 -2 A02X+067609Y-039409X0669Y0394R000S1 +327SW_5 S10 -1 A02X+070089Y-040906X0669Y0394R000S1 +327SW_5 S10 -1 A02X+067609Y-040906X0669Y0394R000S1 +327SW_D S16 -2 A02X+054932Y-046693X0669Y0394R000S1 +327SW_D S16 -2 A02X+052451Y-046693X0669Y0394R000S1 +327SW_1 S16 -1 A02X+054932Y-048189X0669Y0394R000S1 +327SW_1 S16 -1 A02X+052451Y-048189X0669Y0394R000S1 +327SW_A S1 -2 A02X+071073Y-046693X0669Y0394R000S1 +327SW_A S1 -2 A02X+068593Y-046693X0669Y0394R000S1 +327SW_1 S1 -1 A02X+071073Y-048189X0669Y0394R000S1 +327SW_1 S1 -1 A02X+068593Y-048189X0669Y0394R000S1 +327SW_C S13 -2 A02X+088003Y-033110X0669Y0394R000S1 +327SW_C S13 -2 A02X+085522Y-033110X0669Y0394R000S1 +327SW_3 S13 -1 A02X+088003Y-034606X0669Y0394R000S1 +327SW_3 S13 -1 A02X+085522Y-034606X0669Y0394R000S1 +999 diff --git a/PCB/production/positions.csv b/PCB/production/positions.csv new file mode 100644 index 0000000..11302a9 --- /dev/null +++ b/PCB/production/positions.csv @@ -0,0 +1,115 @@ +Designator,Mid X,Mid Y,Rotation,Layer +AE1,55.500146,-85.711992,0.0,top +C1,237.5,-115.0,0.0,top +C10,85.7,-84.3,90.0,top +C11,67.25625,-109.45,270.0,top +C12,58.4,-114.9,90.0,top +C13,67.25,-93.6,0.0,top +C14,67.5,-91.85,180.0,top +C15,68.4,-122.2,90.0,top +C16,95.35,-113.45,90.0,top +C17,87.2,-84.3,90.0,top +C18,71.6,-88.161493,270.0,top +C19,67.1,-88.161493,270.0,top +C2,238.7,-109.1,90.0,top +C20,86.8,-110.5,0.0,top +C21,67.25,-95.6,0.0,top +C22,75.4,-86.2,180.0,top +C23,97.3,-91.0,0.0,top +C24,227.5,-109.2,0.0,top +C25,97.6,-94.1,270.0,top +C3,89.3,-107.2,270.0,top +C4,233.043,-109.195114,90.0,top +C5,233.049514,-112.28611,270.0,top +C6,83.1,-107.2,90.0,top +C7,86.7,-93.9,90.0,top +C8,88.7,-93.9,90.0,top +C9,99.4,-93.9,90.0,top +D1,226.9,-119.3,270.0,top +D2,59.676677,-102.0,90.0,top +D3,88.9,-101.7,180.0,top +D4,234.2,-96.6,90.0,top +D5,65.4,-106.1,90.0,top +F1,238.0,-95.1,0.0,top +FID1,239.500146,-119.111992,180.0,bottom +FID2,62.000146,-79.611992,180.0,bottom +FID3,239.500146,-119.111992,0.0,top +FID4,62.000146,-79.611992,0.0,top +J1,241.0,-102.0,90.0,top +J2,91.622852,-102.0,90.0,top +J3,224.9,-113.5,90.0,top +J4,230.5,-110.425,0.0,top +Q1,223.78125,-119.95,180.0,top +Q2,222.300146,-103.111992,180.0,top +Q3,94.6,-109.8,270.0,top +Q4,87.6,-90.5,0.0,top +Q5,63.0,-109.5,180.0,top +R1,63.9,-106.1,270.0,top +R10,89.3,-110.5,270.0,top +R11,92.5,-90.3,90.0,top +R12,94.0,-90.3,90.0,top +R13,222.310276,-100.94946,0.0,top +R14,222.400146,-105.254952,180.0,top +R15,97.837763,-113.008033,180.0,top +R16,97.837763,-110.008033,180.0,top +R17,97.837763,-114.508033,180.0,top +R18,97.837763,-111.508033,180.0,top +R19,98.537763,-107.75,90.0,top +R20,65.75625,-109.45,90.0,top +R21,62.1,-106.0625,90.0,top +R22,68.2,-115.6,90.0,top +R23,86.8,-112.0,0.0,top +R24,86.8,-113.5,180.0,top +R25,94.8,-107.0,180.0,top +R26,84.9,-90.925,270.0,top +R27,66.49375,-111.7,0.0,top +R28,83.4375,-90.925,270.0,top +R29,94.8,-105.4,180.0,top +R30,97.3,-89.5,0.0,top +R31,132.175,-125.0,0.0,top +R32,132.175,-123.5,0.0,top +R33,132.175,-122.0,0.0,top +R34,132.2,-120.2,0.0,top +R35,132.2,-118.7,0.0,top +R36,69.35,-88.911809,0.0,top +R37,80.2,-97.0,0.0,top +R38,88.9,-103.2,0.0,top +R39,232.7,-96.6,270.0,top +R40,58.4,-117.9,90.0,top +R6,220.9,-120.2,270.0,top +R7,233.5,-99.9,0.0,top +R8,239.8,-112.3,90.0,top +R9,234.4,-104.7,90.0,top +S1,177.376677,-120.5,0.0,bottom +S10,174.876677,-102.0,0.0,bottom +S11,220.376677,-118.0,0.0,bottom +S12,207.876677,-117.0,0.0,bottom +S13,220.376677,-86.0,0.0,bottom +S14,220.376677,-107.333333,0.0,bottom +S15,220.376677,-96.666667,0.0,bottom +S16,136.376677,-120.5,0.0,bottom +S17,55.93694,-119.005171,270.0,bottom +S18,177.376677,-83.5,0.0,bottom +S19,150.876677,-102.0,0.0,bottom +S2,190.876677,-117.0,0.0,bottom +S20,162.876677,-90.0,0.0,bottom +S22,136.376677,-108.166667,0.0,bottom +S23,148.376677,-83.5,0.0,bottom +S24,136.376677,-95.833333,0.0,bottom +S25,136.376677,-83.5,0.0,bottom +S26,73.4,-123.3,180.0,top +S3,207.876677,-87.0,0.0,bottom +S4,190.876677,-102.0,0.0,bottom +S5,207.876677,-102.0,0.0,bottom +S6,148.376677,-120.5,0.0,bottom +S7,162.876677,-114.0,0.0,bottom +S8,190.876677,-87.0,0.0,bottom +S9,162.876677,-102.0,0.0,bottom +U1,237.0,-112.5,0.0,top +U2,86.2,-107.2,180.0,top +U3,228.0,-103.25,180.0,top +U4,233.7,-102.05,180.0,top +U5,89.75,-84.786992,90.0,top +U6,55.4,-116.3,90.0,top +U7,76.85,-91.55,0.0,top +U8,235.8375,-109.05,180.0,top