From 53727820aa8823feaae6eeb90f0f0ca81958d2f8 Mon Sep 17 00:00:00 2001 From: "Morgan 'ARR\\!' Allen" Date: Tue, 15 Jun 2021 16:33:19 -0700 Subject: [PATCH] simplified parts of design, switched to AP2112K-3.3 for power reg --- loranet2.kicad_pcb | 3434 ++++++++++++++++++++------------------------ loranet2.pro | 4 +- loranet2.sch | 373 ++--- 3 files changed, 1678 insertions(+), 2133 deletions(-) diff --git a/loranet2.kicad_pcb b/loranet2.kicad_pcb index 4ce9772..0ee54df 100644 --- a/loranet2.kicad_pcb +++ b/loranet2.kicad_pcb @@ -1,12 +1,12 @@ -(kicad_pcb (version 20171130) (host pcbnew 5.1.5-52549c5~86~ubuntu19.04.1) +(kicad_pcb (version 20171130) (host pcbnew 5.1.10) (general (thickness 1.6) - (drawings 5) - (tracks 476) + (drawings 7) + (tracks 446) (zones 0) - (modules 40) - (nets 38) + (modules 34) + (nets 70) ) (page A4) @@ -34,7 +34,9 @@ ) (setup - (last_trace_width 0.25) + (last_trace_width 0.3) + (user_trace_width 0.3) + (user_trace_width 0.5) (trace_clearance 0.2) (zone_clearance 1) (zone_45_only no) @@ -61,7 +63,7 @@ (pad_to_mask_clearance 0.051) (solder_mask_min_width 0.25) (aux_axis_origin 0 0) - (visible_elements FFFFFF7F) + (visible_elements FFF9FF7F) (pcbplotparams (layerselection 0x010fc_ffffffff) (usegerberextensions false) @@ -96,39 +98,71 @@ (net 2 "Net-(AE1-Pad1)") (net 3 "Net-(AE2-Pad1)") (net 4 VBUS) - (net 5 "Net-(C2-Pad2)") - (net 6 "Net-(C2-Pad1)") - (net 7 +3V3) - (net 8 "Net-(R1-Pad2)") - (net 9 VIN) - (net 10 VBAT) - (net 11 "Net-(C9-Pad1)") - (net 12 "Net-(J2-Pad3)") - (net 13 "Net-(J2-Pad2)") - (net 14 "Net-(JP1-Pad2)") - (net 15 RTS) - (net 16 EN) - (net 17 "Net-(Q2-Pad1)") - (net 18 IO0) - (net 19 DTR) - (net 20 "Net-(Q3-Pad1)") - (net 21 "Net-(R4-Pad2)") - (net 22 "Net-(R8-Pad1)") - (net 23 "Net-(U1-Pad37)") - (net 24 LORSCK) - (net 25 TX) - (net 26 RX) - (net 27 LORMISO) - (net 28 LORMOSI) - (net 29 "Net-(U1-Pad29)") - (net 30 "Net-(U1-Pad26)") - (net 31 "Net-(U1-Pad23)") - (net 32 "Net-(U1-Pad16)") - (net 33 "Net-(U1-Pad13)") - (net 34 "Net-(U1-Pad12)") - (net 35 "Net-(U1-Pad10)") - (net 36 "Net-(U1-Pad9)") - (net 37 "Net-(U1-Pad8)") + (net 5 +3V3) + (net 6 VIN) + (net 7 VBAT) + (net 8 "Net-(C9-Pad1)") + (net 9 "Net-(J2-Pad3)") + (net 10 "Net-(J2-Pad2)") + (net 11 "Net-(JP1-Pad2)") + (net 12 RTS) + (net 13 EN) + (net 14 "Net-(Q2-Pad1)") + (net 15 IO0) + (net 16 DTR) + (net 17 "Net-(Q3-Pad1)") + (net 18 "Net-(R4-Pad2)") + (net 19 "Net-(R8-Pad1)") + (net 20 "Net-(U1-Pad37)") + (net 21 LORSCK) + (net 22 TX) + (net 23 RX) + (net 24 LORMISO) + (net 25 LORMOSI) + (net 26 "Net-(U1-Pad29)") + (net 27 "Net-(U1-Pad26)") + (net 28 "Net-(U1-Pad23)") + (net 29 "Net-(U1-Pad16)") + (net 30 "Net-(U1-Pad13)") + (net 31 "Net-(U1-Pad12)") + (net 32 "Net-(U1-Pad10)") + (net 33 "Net-(U1-Pad9)") + (net 34 "Net-(U1-Pad8)") + (net 35 "Net-(J2-Pad4)") + (net 36 "Net-(R1-Pad1)") + (net 37 "Net-(U1-Pad32)") + (net 38 "Net-(U1-Pad30)") + (net 39 "Net-(U1-Pad28)") + (net 40 "Net-(U1-Pad27)") + (net 41 "Net-(U1-Pad24)") + (net 42 "Net-(U1-Pad22)") + (net 43 "Net-(U1-Pad21)") + (net 44 "Net-(U1-Pad20)") + (net 45 "Net-(U1-Pad19)") + (net 46 "Net-(U1-Pad18)") + (net 47 "Net-(U1-Pad17)") + (net 48 "Net-(U1-Pad14)") + (net 49 "Net-(U1-Pad11)") + (net 50 "Net-(U1-Pad6)") + (net 51 "Net-(U1-Pad5)") + (net 52 "Net-(U1-Pad4)") + (net 53 "Net-(U2-Pad4)") + (net 54 "Net-(U3-Pad13)") + (net 55 "Net-(U3-Pad6)") + (net 56 "Net-(U4-Pad13)") + (net 57 "Net-(U4-Pad6)") + (net 58 "Net-(U6-Pad24)") + (net 59 "Net-(U6-Pad22)") + (net 60 "Net-(U6-Pad18)") + (net 61 "Net-(U6-Pad17)") + (net 62 "Net-(U6-Pad16)") + (net 63 "Net-(U6-Pad15)") + (net 64 "Net-(U6-Pad14)") + (net 65 "Net-(U6-Pad13)") + (net 66 "Net-(U6-Pad12)") + (net 67 "Net-(U6-Pad11)") + (net 68 "Net-(U6-Pad10)") + (net 69 "Net-(U6-Pad1)") (net_class Default "This is the default net class." (clearance 0.2) @@ -147,8 +181,6 @@ (add_net LORSCK) (add_net "Net-(AE1-Pad1)") (add_net "Net-(AE2-Pad1)") - (add_net "Net-(C2-Pad1)") - (add_net "Net-(C2-Pad2)") (add_net "Net-(C9-Pad1)") (add_net "Net-(J2-Pad2)") (add_net "Net-(J2-Pad3)") @@ -156,13 +188,14 @@ (add_net "Net-(JP1-Pad2)") (add_net "Net-(Q2-Pad1)") (add_net "Net-(Q3-Pad1)") - (add_net "Net-(R1-Pad2)") + (add_net "Net-(R1-Pad1)") (add_net "Net-(R4-Pad2)") (add_net "Net-(R8-Pad1)") (add_net "Net-(U1-Pad10)") (add_net "Net-(U1-Pad11)") (add_net "Net-(U1-Pad12)") (add_net "Net-(U1-Pad13)") + (add_net "Net-(U1-Pad14)") (add_net "Net-(U1-Pad16)") (add_net "Net-(U1-Pad17)") (add_net "Net-(U1-Pad18)") @@ -209,6 +242,226 @@ (add_net VIN) ) + (module Connector_JST:JST_EH_S2B-EH_1x02_P2.50mm_Horizontal (layer F.Cu) (tedit 5C281425) (tstamp 5EA6235D) + (at 218.186 111.506 180) + (descr "JST EH series connector, S2B-EH (http://www.jst-mfg.com/product/pdf/eng/eEH.pdf), generated with kicad-footprint-generator") + (tags "connector JST EH horizontal") + (path /5EB13CED) + (fp_text reference J1 (at 1.25 -7.9) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Conn_01x02 (at 1.25 2.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 1.25 -2.6) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.5 -0.7) (end -1.5 1.5) (layer F.Fab) (width 0.1)) + (fp_line (start -1.5 1.5) (end -2.5 1.5) (layer F.Fab) (width 0.1)) + (fp_line (start -2.5 1.5) (end -2.5 -6.7) (layer F.Fab) (width 0.1)) + (fp_line (start -2.5 -6.7) (end 5 -6.7) (layer F.Fab) (width 0.1)) + (fp_line (start 5 -6.7) (end 5 1.5) (layer F.Fab) (width 0.1)) + (fp_line (start 5 1.5) (end 4 1.5) (layer F.Fab) (width 0.1)) + (fp_line (start 4 1.5) (end 4 -0.7) (layer F.Fab) (width 0.1)) + (fp_line (start 4 -0.7) (end -1.5 -0.7) (layer F.Fab) (width 0.1)) + (fp_line (start -3 -7.2) (end -3 2) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3 2) (end 5.5 2) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.5 2) (end 5.5 -7.2) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.5 -7.2) (end -3 -7.2) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.39 -0.59) (end -1.39 1.61) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.39 1.61) (end -2.61 1.61) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.61 1.61) (end -2.61 -6.81) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.61 -6.81) (end 5.11 -6.81) (layer F.SilkS) (width 0.12)) + (fp_line (start 5.11 -6.81) (end 5.11 1.61) (layer F.SilkS) (width 0.12)) + (fp_line (start 5.11 1.61) (end 3.89 1.61) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.89 1.61) (end 3.89 -0.59) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.61 -5.59) (end -1.39 -5.59) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.39 -5.59) (end -1.39 -0.59) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.39 -0.59) (end -2.61 -0.59) (layer F.SilkS) (width 0.12)) + (fp_line (start 5.11 -5.59) (end 3.89 -5.59) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.89 -5.59) (end 3.89 -0.59) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.89 -0.59) (end 5.11 -0.59) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.39 -1.59) (end 3.89 -1.59) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 -1.59) (end -0.32 -1.59) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.32 -1.59) (end -0.32 -5.01) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.32 -5.01) (end 0 -5.09) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 -5.09) (end 0.32 -5.01) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.32 -5.01) (end 0.32 -1.59) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.32 -1.59) (end 0 -1.59) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.17 -0.59) (end 1.33 -0.59) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.5 -1.59) (end 2.18 -1.59) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.18 -1.59) (end 2.18 -5.01) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.18 -5.01) (end 2.5 -5.09) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.5 -5.09) (end 2.82 -5.01) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.82 -5.01) (end 2.82 -1.59) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.82 -1.59) (end 2.5 -1.59) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 1.5) (end -0.3 2.1) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.3 2.1) (end 0.3 2.1) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.3 2.1) (end 0 1.5) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.7) (end 0 -1.407107) (layer F.Fab) (width 0.1)) + (fp_line (start 0 -1.407107) (end 0.5 -0.7) (layer F.Fab) (width 0.1)) + (pad 2 thru_hole oval (at 2.5 0 180) (size 1.7 2) (drill 1) (layers *.Cu *.Mask) + (net 1 GND)) + (pad 1 thru_hole roundrect (at 0 0 180) (size 1.7 2) (drill 1) (layers *.Cu *.Mask) (roundrect_rratio 0.147059) + (net 7 VBAT)) + (model ${KISYS3DMOD}/Connector_JST.3dshapes/JST_EH_S2B-EH_1x02_P2.50mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Package_TO_SOT_SMD:SOT-23-5 (layer F.Cu) (tedit 5A02FF57) (tstamp 60C9B863) + (at 209.795001 118.405001) + (descr "5-pin SOT23 package") + (tags SOT-23-5) + (path /60D148E8) + (attr smd) + (fp_text reference U2 (at 0 -2.9) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value AP2112K-3.3 (at 0 2.9) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.9 1.61) (end 0.9 1.61) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.9 -1.61) (end -1.55 -1.61) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.9 -1.8) (end 1.9 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.9 -1.8) (end 1.9 1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.9 1.8) (end -1.9 1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.9 1.8) (end -1.9 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.9 -0.9) (end -0.25 -1.55) (layer F.Fab) (width 0.1)) + (fp_line (start 0.9 -1.55) (end -0.25 -1.55) (layer F.Fab) (width 0.1)) + (fp_line (start -0.9 -0.9) (end -0.9 1.55) (layer F.Fab) (width 0.1)) + (fp_line (start 0.9 1.55) (end -0.9 1.55) (layer F.Fab) (width 0.1)) + (fp_line (start 0.9 -1.55) (end 0.9 1.55) (layer F.Fab) (width 0.1)) + (pad 5 smd rect (at 1.1 -0.95) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) + (net 5 +3V3)) + (pad 4 smd rect (at 1.1 0.95) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) + (net 53 "Net-(U2-Pad4)")) + (pad 3 smd rect (at -1.1 0.95) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) + (net 36 "Net-(R1-Pad1)")) + (pad 2 smd rect (at -1.1 0) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) + (net 1 GND)) + (pad 1 smd rect (at -1.1 -0.95) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) + (net 6 VIN)) + (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23-5.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 60C99BCC) + (at 209.55 121.158 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags resistor) + (path /60DC0EF8) + (attr smd) + (fp_text reference R1 (at 0 -1.43) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 100k (at 0 1.43) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer F.Fab) (width 0.1)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (pad 2 smd roundrect (at 0.825 0 180) (size 0.8 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 6 VIN)) + (pad 1 smd roundrect (at -0.825 0 180) (size 0.8 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 36 "Net-(R1-Pad1)")) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0805_2012Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 60C999B3) + (at 210.82 114.046 90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags capacitor) + (path /60D45A49) + (attr smd) + (fp_text reference C2 (at 0 -1.68 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 1u (at 0 1.68 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08))) + ) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.1)) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.7 0.98) (end -1.7 -0.98) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -0.98) (end 1.7 -0.98) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -0.98) (end 1.7 0.98) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 0.98) (end -1.7 0.98) (layer F.CrtYd) (width 0.05)) + (pad 2 smd roundrect (at 0.95 0 90) (size 1 1.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 1 smd roundrect (at -0.95 0 90) (size 1 1.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 5 +3V3)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0805_2012Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 60C999A2) + (at 209.55 123.444) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags capacitor) + (path /60D46CD5) + (attr smd) + (fp_text reference C1 (at 0 -1.68) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 1u (at 0 1.68) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08))) + ) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.1)) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.7 0.98) (end -1.7 -0.98) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -0.98) (end 1.7 -0.98) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -0.98) (end 1.7 0.98) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 0.98) (end -1.7 0.98) (layer F.CrtYd) (width 0.05)) + (pad 2 smd roundrect (at 0.95 0) (size 1 1.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 1 smd roundrect (at -0.95 0) (size 1 1.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 6 VIN)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + (module Package_DFN_QFN:QFN-24-1EP_4x4mm_P0.5mm_EP2.6x2.6mm (layer F.Cu) (tedit 5DC5F6A3) (tstamp 5EA62637) (at 180 116) (descr "QFN, 24 Pin (http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=278), generated with kicad-footprint-generator ipc_noLead_generator.py") @@ -221,67 +474,79 @@ (fp_text value CP2102N-A01-GQFN24 (at 0 3.3) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) + (fp_line (start 1.635 -2.11) (end 2.11 -2.11) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.11 -2.11) (end 2.11 -1.635) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.635 2.11) (end -2.11 2.11) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.11 2.11) (end -2.11 1.635) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.635 2.11) (end 2.11 2.11) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.11 2.11) (end 2.11 1.635) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.635 -2.11) (end -2.11 -2.11) (layer F.SilkS) (width 0.12)) + (fp_line (start -1 -2) (end 2 -2) (layer F.Fab) (width 0.1)) + (fp_line (start 2 -2) (end 2 2) (layer F.Fab) (width 0.1)) + (fp_line (start 2 2) (end -2 2) (layer F.Fab) (width 0.1)) + (fp_line (start -2 2) (end -2 -1) (layer F.Fab) (width 0.1)) + (fp_line (start -2 -1) (end -1 -2) (layer F.Fab) (width 0.1)) + (fp_line (start -2.6 -2.6) (end -2.6 2.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.6 2.6) (end 2.6 2.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.6 2.6) (end 2.6 -2.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.6 -2.6) (end -2.6 -2.6) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 2.6 -2.6) (end -2.6 -2.6) (layer F.CrtYd) (width 0.05)) - (fp_line (start 2.6 2.6) (end 2.6 -2.6) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.6 2.6) (end 2.6 2.6) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.6 -2.6) (end -2.6 2.6) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2 -1) (end -1 -2) (layer F.Fab) (width 0.1)) - (fp_line (start -2 2) (end -2 -1) (layer F.Fab) (width 0.1)) - (fp_line (start 2 2) (end -2 2) (layer F.Fab) (width 0.1)) - (fp_line (start 2 -2) (end 2 2) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -2) (end 2 -2) (layer F.Fab) (width 0.1)) - (fp_line (start -1.635 -2.11) (end -2.11 -2.11) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.11 2.11) (end 2.11 1.635) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.635 2.11) (end 2.11 2.11) (layer F.SilkS) (width 0.12)) - (fp_line (start -2.11 2.11) (end -2.11 1.635) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.635 2.11) (end -2.11 2.11) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.11 -2.11) (end 2.11 -1.635) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.635 -2.11) (end 2.11 -2.11) (layer F.SilkS) (width 0.12)) (pad "" smd roundrect (at 0.65 0.65) (size 1.05 1.05) (layers F.Paste) (roundrect_rratio 0.238095)) (pad "" smd roundrect (at 0.65 -0.65) (size 1.05 1.05) (layers F.Paste) (roundrect_rratio 0.238095)) (pad "" smd roundrect (at -0.65 0.65) (size 1.05 1.05) (layers F.Paste) (roundrect_rratio 0.238095)) (pad "" smd roundrect (at -0.65 -0.65) (size 1.05 1.05) (layers F.Paste) (roundrect_rratio 0.238095)) (pad 25 smd rect (at 0 0) (size 2.6 2.6) (layers F.Cu F.Mask) (net 1 GND)) - (pad 24 smd roundrect (at -1.25 -1.9375) (size 0.25 0.825) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 24 smd roundrect (at -1.25 -1.9375) (size 0.25 0.825) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 58 "Net-(U6-Pad24)")) (pad 23 smd roundrect (at -0.75 -1.9375) (size 0.25 0.825) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 19 DTR)) - (pad 22 smd roundrect (at -0.25 -1.9375) (size 0.25 0.825) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (net 16 DTR)) + (pad 22 smd roundrect (at -0.25 -1.9375) (size 0.25 0.825) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 59 "Net-(U6-Pad22)")) (pad 21 smd roundrect (at 0.25 -1.9375) (size 0.25 0.825) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 26 RX)) + (net 23 RX)) (pad 20 smd roundrect (at 0.75 -1.9375) (size 0.25 0.825) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 25 TX)) + (net 22 TX)) (pad 19 smd roundrect (at 1.25 -1.9375) (size 0.25 0.825) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 15 RTS)) - (pad 18 smd roundrect (at 1.9375 -1.25) (size 0.825 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) - (pad 17 smd roundrect (at 1.9375 -0.75) (size 0.825 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) - (pad 16 smd roundrect (at 1.9375 -0.25) (size 0.825 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) - (pad 15 smd roundrect (at 1.9375 0.25) (size 0.825 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) - (pad 14 smd roundrect (at 1.9375 0.75) (size 0.825 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) - (pad 13 smd roundrect (at 1.9375 1.25) (size 0.825 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) - (pad 12 smd roundrect (at 1.25 1.9375) (size 0.25 0.825) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) - (pad 11 smd roundrect (at 0.75 1.9375) (size 0.25 0.825) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) - (pad 10 smd roundrect (at 0.25 1.9375) (size 0.25 0.825) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (net 12 RTS)) + (pad 18 smd roundrect (at 1.9375 -1.25) (size 0.825 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 60 "Net-(U6-Pad18)")) + (pad 17 smd roundrect (at 1.9375 -0.75) (size 0.825 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 61 "Net-(U6-Pad17)")) + (pad 16 smd roundrect (at 1.9375 -0.25) (size 0.825 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 62 "Net-(U6-Pad16)")) + (pad 15 smd roundrect (at 1.9375 0.25) (size 0.825 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 63 "Net-(U6-Pad15)")) + (pad 14 smd roundrect (at 1.9375 0.75) (size 0.825 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 64 "Net-(U6-Pad14)")) + (pad 13 smd roundrect (at 1.9375 1.25) (size 0.825 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 65 "Net-(U6-Pad13)")) + (pad 12 smd roundrect (at 1.25 1.9375) (size 0.25 0.825) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 66 "Net-(U6-Pad12)")) + (pad 11 smd roundrect (at 0.75 1.9375) (size 0.25 0.825) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 67 "Net-(U6-Pad11)")) + (pad 10 smd roundrect (at 0.25 1.9375) (size 0.25 0.825) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 68 "Net-(U6-Pad10)")) (pad 9 smd roundrect (at -0.25 1.9375) (size 0.25 0.825) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 22 "Net-(R8-Pad1)")) + (net 19 "Net-(R8-Pad1)")) (pad 8 smd roundrect (at -0.75 1.9375) (size 0.25 0.825) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 14 "Net-(JP1-Pad2)")) + (net 11 "Net-(JP1-Pad2)")) (pad 7 smd roundrect (at -1.25 1.9375) (size 0.25 0.825) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) (net 4 VBUS)) (pad 6 smd roundrect (at -1.9375 1.25) (size 0.825 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 11 "Net-(C9-Pad1)")) + (net 8 "Net-(C9-Pad1)")) (pad 5 smd roundrect (at -1.9375 0.75) (size 0.825 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 11 "Net-(C9-Pad1)")) + (net 8 "Net-(C9-Pad1)")) (pad 4 smd roundrect (at -1.9375 0.25) (size 0.825 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 13 "Net-(J2-Pad2)")) + (net 10 "Net-(J2-Pad2)")) (pad 3 smd roundrect (at -1.9375 -0.25) (size 0.825 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 12 "Net-(J2-Pad3)")) + (net 9 "Net-(J2-Pad3)")) (pad 2 smd roundrect (at -1.9375 -0.75) (size 0.825 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) (net 1 GND)) - (pad 1 smd roundrect (at -1.9375 -1.25) (size 0.825 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 1 smd roundrect (at -1.9375 -1.25) (size 0.825 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 69 "Net-(U6-Pad1)")) (model ${KISYS3DMOD}/Package_DFN_QFN.3dshapes/QFN-24-1EP_4x4mm_P0.5mm_EP2.6x2.6mm.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -301,17 +566,17 @@ (fp_text value MCP73811T-420I-OT (at 0 2.9) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 0.9 -1.55) (end 0.9 1.55) (layer F.Fab) (width 0.1)) - (fp_line (start 0.9 1.55) (end -0.9 1.55) (layer F.Fab) (width 0.1)) - (fp_line (start -0.9 -0.9) (end -0.9 1.55) (layer F.Fab) (width 0.1)) - (fp_line (start 0.9 -1.55) (end -0.25 -1.55) (layer F.Fab) (width 0.1)) - (fp_line (start -0.9 -0.9) (end -0.25 -1.55) (layer F.Fab) (width 0.1)) - (fp_line (start -1.9 1.8) (end -1.9 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.9 1.8) (end -1.9 1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.9 -1.8) (end 1.9 1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.9 -1.8) (end 1.9 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.9 -1.61) (end -1.55 -1.61) (layer F.SilkS) (width 0.12)) (fp_line (start -0.9 1.61) (end 0.9 1.61) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.9 -1.61) (end -1.55 -1.61) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.9 -1.8) (end 1.9 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.9 -1.8) (end 1.9 1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.9 1.8) (end -1.9 1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.9 1.8) (end -1.9 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.9 -0.9) (end -0.25 -1.55) (layer F.Fab) (width 0.1)) + (fp_line (start 0.9 -1.55) (end -0.25 -1.55) (layer F.Fab) (width 0.1)) + (fp_line (start -0.9 -0.9) (end -0.9 1.55) (layer F.Fab) (width 0.1)) + (fp_line (start 0.9 1.55) (end -0.9 1.55) (layer F.Fab) (width 0.1)) + (fp_line (start 0.9 -1.55) (end 0.9 1.55) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0.25 -0.05 90) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.075))) ) @@ -320,7 +585,7 @@ (pad 4 smd rect (at 1.1 0.95 180) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) (net 4 VBUS)) (pad 3 smd rect (at -1.1 0.95 180) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) - (net 10 VBAT)) + (net 7 VBAT)) (pad 2 smd rect (at -1.1 0 180) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) (net 1 GND)) (pad 1 smd rect (at -1.1 -0.95 180) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) @@ -341,40 +606,42 @@ (fp_text value DRF1276G (at 0 -9.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 8.3 9) (end 8.3 8.21) (layer F.SilkS) (width 0.12)) - (fp_line (start -8.3 9) (end -8.3 8.21) (layer F.SilkS) (width 0.12)) - (fp_line (start 8.3 9) (end -8.3 9) (layer F.SilkS) (width 0.12)) - (fp_line (start 8.3 -8.5) (end 8.3 -8.15) (layer F.SilkS) (width 0.12)) (fp_line (start -8.3 -8.5) (end 8.3 -8.5) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.3 -8.5) (end 8.3 -8.15) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.3 9) (end -8.3 9) (layer F.SilkS) (width 0.12)) + (fp_line (start -8.3 9) (end -8.3 8.21) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.3 9) (end 8.3 8.21) (layer F.SilkS) (width 0.12)) (pad 16 smd rect (at 8.3 -7) (size 2 1.5) (layers F.Cu F.Paste F.Mask) (net 1 GND)) (pad 15 smd rect (at 8.3 -5) (size 2 1.5) (layers F.Cu F.Paste F.Mask) (net 3 "Net-(AE2-Pad1)")) (pad 14 smd rect (at 8.3 -3) (size 2 1.5) (layers F.Cu F.Paste F.Mask) (net 1 GND)) - (pad 13 smd rect (at 8.3 -1) (size 2 1.5) (layers F.Cu F.Paste F.Mask)) + (pad 13 smd rect (at 8.3 -1) (size 2 1.5) (layers F.Cu F.Paste F.Mask) + (net 56 "Net-(U4-Pad13)")) (pad 12 smd rect (at 8.3 1) (size 2 1.5) (layers F.Cu F.Paste F.Mask) - (net 36 "Net-(U1-Pad9)")) + (net 33 "Net-(U1-Pad9)")) (pad 11 smd rect (at 8.3 3) (size 2 1.5) (layers F.Cu F.Paste F.Mask) - (net 28 LORMOSI)) + (net 25 LORMOSI)) (pad 10 smd rect (at 8.3 5) (size 2 1.5) (layers F.Cu F.Paste F.Mask) - (net 27 LORMISO)) + (net 24 LORMISO)) (pad 9 smd rect (at 8.3 7) (size 2 1.5) (layers F.Cu F.Paste F.Mask) - (net 24 LORSCK)) + (net 21 LORSCK)) (pad 8 smd rect (at -8.3 7) (size 2 1.5) (layers F.Cu F.Paste F.Mask) (net 1 GND)) (pad 7 smd rect (at -8.3 5) (size 2 1.5) (layers F.Cu F.Paste F.Mask) - (net 7 +3V3)) - (pad 6 smd rect (at -8.3 3) (size 2 1.5) (layers F.Cu F.Paste F.Mask)) + (net 5 +3V3)) + (pad 6 smd rect (at -8.3 3) (size 2 1.5) (layers F.Cu F.Paste F.Mask) + (net 57 "Net-(U4-Pad6)")) (pad 5 smd rect (at -8.3 1) (size 2 1.5) (layers F.Cu F.Paste F.Mask)) (pad 4 smd rect (at -8.3 -1) (size 2 1.5) (layers F.Cu F.Paste F.Mask) - (net 37 "Net-(U1-Pad8)")) + (net 34 "Net-(U1-Pad8)")) (pad 3 smd rect (at -8.3 -3) (size 2 1.5) (layers F.Cu F.Paste F.Mask) - (net 34 "Net-(U1-Pad12)")) + (net 31 "Net-(U1-Pad12)")) (pad 2 smd rect (at -8.3 -5) (size 2 1.5) (layers F.Cu F.Paste F.Mask) - (net 35 "Net-(U1-Pad10)")) + (net 32 "Net-(U1-Pad10)")) (pad 1 smd rect (at -8.3 -7) (size 2 1.5) (layers F.Cu F.Paste F.Mask) - (net 23 "Net-(U1-Pad37)")) + (net 20 "Net-(U1-Pad37)")) ) (module loranet2:DRF1276G (layer F.Cu) (tedit 5EA4B153) (tstamp 5EA625D7) @@ -386,40 +653,42 @@ (fp_text value DRF1276G (at 0 -9.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 8.3 9) (end 8.3 8.21) (layer F.SilkS) (width 0.12)) - (fp_line (start -8.3 9) (end -8.3 8.21) (layer F.SilkS) (width 0.12)) - (fp_line (start 8.3 9) (end -8.3 9) (layer F.SilkS) (width 0.12)) - (fp_line (start 8.3 -8.5) (end 8.3 -8.15) (layer F.SilkS) (width 0.12)) (fp_line (start -8.3 -8.5) (end 8.3 -8.5) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.3 -8.5) (end 8.3 -8.15) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.3 9) (end -8.3 9) (layer F.SilkS) (width 0.12)) + (fp_line (start -8.3 9) (end -8.3 8.21) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.3 9) (end 8.3 8.21) (layer F.SilkS) (width 0.12)) (pad 16 smd rect (at 8.3 -7) (size 2 1.5) (layers F.Cu F.Paste F.Mask) (net 1 GND)) (pad 15 smd rect (at 8.3 -5) (size 2 1.5) (layers F.Cu F.Paste F.Mask) (net 2 "Net-(AE1-Pad1)")) (pad 14 smd rect (at 8.3 -3) (size 2 1.5) (layers F.Cu F.Paste F.Mask) (net 1 GND)) - (pad 13 smd rect (at 8.3 -1) (size 2 1.5) (layers F.Cu F.Paste F.Mask)) + (pad 13 smd rect (at 8.3 -1) (size 2 1.5) (layers F.Cu F.Paste F.Mask) + (net 54 "Net-(U3-Pad13)")) (pad 12 smd rect (at 8.3 1) (size 2 1.5) (layers F.Cu F.Paste F.Mask) - (net 31 "Net-(U1-Pad23)")) + (net 28 "Net-(U1-Pad23)")) (pad 11 smd rect (at 8.3 3) (size 2 1.5) (layers F.Cu F.Paste F.Mask) - (net 28 LORMOSI)) + (net 25 LORMOSI)) (pad 10 smd rect (at 8.3 5) (size 2 1.5) (layers F.Cu F.Paste F.Mask) - (net 27 LORMISO)) + (net 24 LORMISO)) (pad 9 smd rect (at 8.3 7) (size 2 1.5) (layers F.Cu F.Paste F.Mask) - (net 24 LORSCK)) + (net 21 LORSCK)) (pad 8 smd rect (at -8.3 7) (size 2 1.5) (layers F.Cu F.Paste F.Mask) (net 1 GND)) (pad 7 smd rect (at -8.3 5) (size 2 1.5) (layers F.Cu F.Paste F.Mask) - (net 7 +3V3)) - (pad 6 smd rect (at -8.3 3) (size 2 1.5) (layers F.Cu F.Paste F.Mask)) + (net 5 +3V3)) + (pad 6 smd rect (at -8.3 3) (size 2 1.5) (layers F.Cu F.Paste F.Mask) + (net 55 "Net-(U3-Pad6)")) (pad 5 smd rect (at -8.3 1) (size 2 1.5) (layers F.Cu F.Paste F.Mask)) (pad 4 smd rect (at -8.3 -1) (size 2 1.5) (layers F.Cu F.Paste F.Mask) - (net 33 "Net-(U1-Pad13)")) + (net 30 "Net-(U1-Pad13)")) (pad 3 smd rect (at -8.3 -3) (size 2 1.5) (layers F.Cu F.Paste F.Mask) - (net 32 "Net-(U1-Pad16)")) + (net 29 "Net-(U1-Pad16)")) (pad 2 smd rect (at -8.3 -5) (size 2 1.5) (layers F.Cu F.Paste F.Mask) - (net 29 "Net-(U1-Pad29)")) + (net 26 "Net-(U1-Pad29)")) (pad 1 smd rect (at -8.3 -7) (size 2 1.5) (layers F.Cu F.Paste F.Mask) - (net 30 "Net-(U1-Pad26)")) + (net 27 "Net-(U1-Pad26)")) ) (module Resistor_SMD:R_0603_1608Metric (layer F.Cu) (tedit 5B301BBD) (tstamp 5EA62518) @@ -434,23 +703,23 @@ (fp_text value R (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (pad 2 smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 20 "Net-(Q3-Pad1)")) + (net 17 "Net-(Q3-Pad1)")) (pad 1 smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 15 RTS)) + (net 12 RTS)) (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -470,23 +739,23 @@ (fp_text value R (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (pad 2 smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 17 "Net-(Q2-Pad1)")) + (net 14 "Net-(Q2-Pad1)")) (pad 1 smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 19 DTR)) + (net 16 DTR)) (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -506,21 +775,21 @@ (fp_text value 0 (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (pad 2 smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 14 "Net-(JP1-Pad2)")) + (net 11 "Net-(JP1-Pad2)")) (pad 1 smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) (net 1 GND)) (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl @@ -542,23 +811,23 @@ (fp_text value 1k (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (pad 2 smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 11 "Net-(C9-Pad1)")) + (net 8 "Net-(C9-Pad1)")) (pad 1 smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 22 "Net-(R8-Pad1)")) + (net 19 "Net-(R8-Pad1)")) (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -578,23 +847,23 @@ (fp_text value 0 (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (pad 2 smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) (net 4 VBUS)) (pad 1 smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 14 "Net-(JP1-Pad2)")) + (net 11 "Net-(JP1-Pad2)")) (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -614,19 +883,19 @@ (fp_text value 100k (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (pad 2 smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) (net 1 GND)) (pad 1 smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) @@ -650,23 +919,23 @@ (fp_text value R (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (pad 2 smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 10 VBAT)) + (net 7 VBAT)) (pad 1 smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 21 "Net-(R4-Pad2)")) + (net 18 "Net-(R4-Pad2)")) (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -686,21 +955,21 @@ (fp_text value R (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (pad 2 smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 21 "Net-(R4-Pad2)")) + (net 18 "Net-(R4-Pad2)")) (pad 1 smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) (net 1 GND)) (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl @@ -722,23 +991,23 @@ (fp_text value R (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (pad 2 smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 16 EN)) + (net 13 EN)) (pad 1 smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 7 +3V3)) + (net 5 +3V3)) (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -758,28 +1027,28 @@ (fp_text value Q_NPN_BCE (at 0 2.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1)) - (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer F.Fab) (width 0.1)) - (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer F.Fab) (width 0.1)) (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer F.Fab) (width 0.1)) + (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer F.Fab) (width 0.1)) + (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1)) + (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer F.SilkS) (width 0.12)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.075))) ) (pad 3 smd rect (at 1 0) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask) - (net 19 DTR)) + (net 16 DTR)) (pad 2 smd rect (at -1 0.95) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask) - (net 18 IO0)) + (net 15 IO0)) (pad 1 smd rect (at -1 -0.95) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask) - (net 20 "Net-(Q3-Pad1)")) + (net 17 "Net-(Q3-Pad1)")) (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -799,28 +1068,28 @@ (fp_text value Q_NPN_BCE (at 0 2.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1)) - (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer F.Fab) (width 0.1)) - (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer F.Fab) (width 0.1)) (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer F.Fab) (width 0.1)) + (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer F.Fab) (width 0.1)) + (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1)) + (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer F.SilkS) (width 0.12)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.075))) ) (pad 3 smd rect (at 1 0) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask) - (net 15 RTS)) + (net 12 RTS)) (pad 2 smd rect (at -1 0.95) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask) - (net 16 EN)) + (net 13 EN)) (pad 1 smd rect (at -1 -0.95) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask) - (net 17 "Net-(Q2-Pad1)")) + (net 14 "Net-(Q2-Pad1)")) (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -840,28 +1109,28 @@ (fp_text value Q_PMOS_DGS (at 0 2.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1)) - (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer F.Fab) (width 0.1)) - (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer F.Fab) (width 0.1)) (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer F.Fab) (width 0.1)) + (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer F.Fab) (width 0.1)) + (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1)) + (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer F.SilkS) (width 0.12)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.075))) ) (pad 3 smd rect (at 1 0) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask) - (net 9 VIN)) + (net 6 VIN)) (pad 2 smd rect (at -1 0.95) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask) (net 4 VBUS)) (pad 1 smd rect (at -1 -0.95) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask) - (net 10 VBAT)) + (net 7 VBAT)) (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -881,15 +1150,15 @@ (fp_text value vbus (at 0 1.9) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_poly (pts (xy 0.25 -0.3) (xy -0.25 -0.3) (xy -0.25 0.3) (xy 0.25 0.3)) (layer F.Cu) (width 0)) - (fp_line (start 1.65 1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.65 1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.65 -1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.65 -1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.7 -1) (end 0.7 -1) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.4 -0.3) (end 1.4 0.3) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.7 1) (end -0.7 1) (layer F.SilkS) (width 0.12)) (fp_line (start -1.4 0.3) (end -1.4 -0.3) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.7 1) (end -0.7 1) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.4 -0.3) (end 1.4 0.3) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.7 -1) (end 0.7 -1) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.65 -1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) + (fp_poly (pts (xy 0.25 -0.3) (xy -0.25 -0.3) (xy -0.25 0.3) (xy 0.25 0.3)) (layer F.Cu) (width 0)) (fp_arc (start -0.7 -0.3) (end -0.7 -1) (angle -90) (layer F.SilkS) (width 0.12)) (fp_arc (start -0.7 0.3) (end -1.4 0.3) (angle -90) (layer F.SilkS) (width 0.12)) (fp_arc (start 0.7 0.3) (end 0.7 1) (angle -90) (layer F.SilkS) (width 0.12)) @@ -904,7 +1173,7 @@ (xy 0 -0.75) (xy 0.5 -0.75) (xy 0.5 0.75) (xy 0 0.75)) (width 0)) )) (pad 2 smd custom (at 0.65 0 180) (size 1 0.5) (layers F.Cu F.Mask) - (net 14 "Net-(JP1-Pad2)") (zone_connect 2) + (net 11 "Net-(JP1-Pad2)") (zone_connect 2) (options (clearance outline) (anchor rect)) (primitives (gr_circle (center 0 0.25) (end 0.5 0.25) (width 0)) @@ -926,21 +1195,21 @@ (fp_text value USB_B_Micro (at 0 4.6 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -3.25 2.65) (end 3.25 2.65) (layer F.Fab) (width 0.1)) - (fp_line (start -3.81 2.6) (end -3.81 2.34) (layer F.SilkS) (width 0.12)) - (fp_line (start -3.81 0.06) (end -3.81 -1.71) (layer F.SilkS) (width 0.12)) - (fp_line (start -3.81 -1.71) (end -3.43 -1.71) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.81 -1.71) (end 3.81 0.06) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.81 2.34) (end 3.81 2.6) (layer F.SilkS) (width 0.12)) - (fp_line (start -3.75 3.35) (end -3.75 -1.65) (layer F.Fab) (width 0.1)) - (fp_line (start -3.75 -1.65) (end 3.75 -1.65) (layer F.Fab) (width 0.1)) - (fp_line (start 3.75 -1.65) (end 3.75 3.35) (layer F.Fab) (width 0.1)) - (fp_line (start 3.75 3.35) (end -3.75 3.35) (layer F.Fab) (width 0.1)) - (fp_line (start -4.7 3.85) (end -4.7 -2.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start -4.7 -2.65) (end 4.7 -2.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start 4.7 -2.65) (end 4.7 3.85) (layer F.CrtYd) (width 0.05)) - (fp_line (start 4.7 3.85) (end -4.7 3.85) (layer F.CrtYd) (width 0.05)) (fp_line (start 3.81 -1.71) (end 3.43 -1.71) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.7 3.85) (end -4.7 3.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.7 -2.65) (end 4.7 3.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.7 -2.65) (end 4.7 -2.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.7 3.85) (end -4.7 -2.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.75 3.35) (end -3.75 3.35) (layer F.Fab) (width 0.1)) + (fp_line (start 3.75 -1.65) (end 3.75 3.35) (layer F.Fab) (width 0.1)) + (fp_line (start -3.75 -1.65) (end 3.75 -1.65) (layer F.Fab) (width 0.1)) + (fp_line (start -3.75 3.35) (end -3.75 -1.65) (layer F.Fab) (width 0.1)) + (fp_line (start 3.81 2.34) (end 3.81 2.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.81 -1.71) (end 3.81 0.06) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.81 -1.71) (end -3.43 -1.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.81 0.06) (end -3.81 -1.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.81 2.6) (end -3.81 2.34) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.25 2.65) (end 3.25 2.65) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 1.2) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) @@ -961,11 +1230,12 @@ (net 1 GND)) (pad 5 smd rect (at 1.3 -1.46) (size 0.45 1.38) (layers F.Cu F.Paste F.Mask) (net 1 GND)) - (pad 4 smd rect (at 0.65 -1.46) (size 0.45 1.38) (layers F.Cu F.Paste F.Mask)) + (pad 4 smd rect (at 0.65 -1.46) (size 0.45 1.38) (layers F.Cu F.Paste F.Mask) + (net 35 "Net-(J2-Pad4)")) (pad 3 smd rect (at 0 -1.46) (size 0.45 1.38) (layers F.Cu F.Paste F.Mask) - (net 12 "Net-(J2-Pad3)")) + (net 9 "Net-(J2-Pad3)")) (pad 2 smd rect (at -0.65 -1.46) (size 0.45 1.38) (layers F.Cu F.Paste F.Mask) - (net 13 "Net-(J2-Pad2)")) + (net 10 "Net-(J2-Pad2)")) (pad 1 smd rect (at -1.3 -1.46) (size 0.45 1.38) (layers F.Cu F.Paste F.Mask) (net 4 VBUS)) (model ${KISYS3DMOD}/Connector_USB.3dshapes/USB_Micro-B_Molex_47346-0001.wrl @@ -975,56 +1245,6 @@ ) ) - (module Connector_JST:JST_EH_B2B-EH-A_1x02_P2.50mm_Vertical (layer F.Cu) (tedit 5C28142C) (tstamp 5EA6235D) - (at 201.5 112.7) - (descr "JST EH series connector, B2B-EH-A (http://www.jst-mfg.com/product/pdf/eng/eEH.pdf), generated with kicad-footprint-generator") - (tags "connector JST EH vertical") - (path /5EB13CED) - (fp_text reference J1 (at 1.25 -2.8) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value Conn_01x02 (at 1.25 3.4) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text user %R (at 1.25 1.5) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -2.91 2.61) (end -0.41 2.61) (layer F.Fab) (width 0.1)) - (fp_line (start -2.91 0.11) (end -2.91 2.61) (layer F.Fab) (width 0.1)) - (fp_line (start -2.91 2.61) (end -0.41 2.61) (layer F.SilkS) (width 0.12)) - (fp_line (start -2.91 0.11) (end -2.91 2.61) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.11 0.81) (end 4.11 2.31) (layer F.SilkS) (width 0.12)) - (fp_line (start 5.11 0.81) (end 4.11 0.81) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.61 0.81) (end -1.61 2.31) (layer F.SilkS) (width 0.12)) - (fp_line (start -2.61 0.81) (end -1.61 0.81) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.61 0) (end 5.11 0) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.61 -1.21) (end 4.61 0) (layer F.SilkS) (width 0.12)) - (fp_line (start -2.11 -1.21) (end 4.61 -1.21) (layer F.SilkS) (width 0.12)) - (fp_line (start -2.11 0) (end -2.11 -1.21) (layer F.SilkS) (width 0.12)) - (fp_line (start -2.61 0) (end -2.11 0) (layer F.SilkS) (width 0.12)) - (fp_line (start 5.11 -1.71) (end -2.61 -1.71) (layer F.SilkS) (width 0.12)) - (fp_line (start 5.11 2.31) (end 5.11 -1.71) (layer F.SilkS) (width 0.12)) - (fp_line (start -2.61 2.31) (end 5.11 2.31) (layer F.SilkS) (width 0.12)) - (fp_line (start -2.61 -1.71) (end -2.61 2.31) (layer F.SilkS) (width 0.12)) - (fp_line (start 5.5 -2.1) (end -3 -2.1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 5.5 2.7) (end 5.5 -2.1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3 2.7) (end 5.5 2.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3 -2.1) (end -3 2.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 5 -1.6) (end -2.5 -1.6) (layer F.Fab) (width 0.1)) - (fp_line (start 5 2.2) (end 5 -1.6) (layer F.Fab) (width 0.1)) - (fp_line (start -2.5 2.2) (end 5 2.2) (layer F.Fab) (width 0.1)) - (fp_line (start -2.5 -1.6) (end -2.5 2.2) (layer F.Fab) (width 0.1)) - (pad 2 thru_hole oval (at 2.5 0) (size 1.7 2) (drill 1) (layers *.Cu *.Mask) - (net 1 GND)) - (pad 1 thru_hole roundrect (at 0 0) (size 1.7 2) (drill 1) (layers *.Cu *.Mask) (roundrect_rratio 0.147059) - (net 10 VBAT)) - (model ${KISYS3DMOD}/Connector_JST.3dshapes/JST_EH_B2B-EH-A_1x02_P2.50mm_Vertical.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - (module Capacitor_SMD:C_0603_1608Metric (layer F.Cu) (tedit 5B301BBE) (tstamp 5EA6233D) (at 199.25 124.75 180) (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") @@ -1037,23 +1257,23 @@ (fp_text value D (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (pad 2 smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) (net 4 VBUS)) (pad 1 smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 9 VIN)) + (net 6 VIN)) (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1073,19 +1293,19 @@ (fp_text value 1u (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (pad 2 smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) (net 1 GND)) (pad 1 smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) @@ -1109,23 +1329,23 @@ (fp_text value 1u (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (pad 2 smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) (net 1 GND)) (pad 1 smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 11 "Net-(C9-Pad1)")) + (net 8 "Net-(C9-Pad1)")) (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1145,23 +1365,23 @@ (fp_text value .1u (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (pad 2 smd roundrect (at 0.7875 0 270) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) (net 1 GND)) (pad 1 smd roundrect (at -0.7875 0 270) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 7 +3V3)) + (net 5 +3V3)) (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1181,21 +1401,21 @@ (fp_text value .1u (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (pad 2 smd roundrect (at 0.7875 0 90) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 7 +3V3)) + (net 5 +3V3)) (pad 1 smd roundrect (at -0.7875 0 90) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) (net 1 GND)) (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl @@ -1217,21 +1437,21 @@ (fp_text value 20u (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (pad 2 smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 10 VBAT)) + (net 7 VBAT)) (pad 1 smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) (net 1 GND)) (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl @@ -1253,19 +1473,19 @@ (fp_text value 20u (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (pad 2 smd roundrect (at 0.7875 0 270) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) (net 4 VBUS)) (pad 1 smd roundrect (at -0.7875 0 270) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) @@ -1286,24 +1506,24 @@ (fp_text reference C4 (at 0 -1.43 90) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value 20u (at 0 1.43 90) (layer F.Fab) + (fp_text value 10u (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (pad 2 smd roundrect (at 0.7875 0 270) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 7 +3V3)) + (net 5 +3V3)) (pad 1 smd roundrect (at -0.7875 0 270) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) (net 1 GND)) (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl @@ -1313,415 +1533,8 @@ ) ) - (module Package_TO_SOT_SMD:SOT-23-6 (layer F.Cu) (tedit 5A02FF57) (tstamp 5EA51D62) - (at 215.75 121.75) - (descr "6-pin SOT-23 package") - (tags SOT-23-6) - (path /5EA7821D) - (attr smd) - (fp_text reference U2 (at 0 -2.9) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value MCP16331CH (at 0 2.9) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 0.9 -1.55) (end 0.9 1.55) (layer F.Fab) (width 0.1)) - (fp_line (start 0.9 1.55) (end -0.9 1.55) (layer F.Fab) (width 0.1)) - (fp_line (start -0.9 -0.9) (end -0.9 1.55) (layer F.Fab) (width 0.1)) - (fp_line (start 0.9 -1.55) (end -0.25 -1.55) (layer F.Fab) (width 0.1)) - (fp_line (start -0.9 -0.9) (end -0.25 -1.55) (layer F.Fab) (width 0.1)) - (fp_line (start -1.9 -1.8) (end -1.9 1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.9 1.8) (end 1.9 1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.9 1.8) (end 1.9 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.9 -1.8) (end -1.9 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.9 -1.61) (end -1.55 -1.61) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.9 1.61) (end 0.9 1.61) (layer F.SilkS) (width 0.12)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.5 0.5) (thickness 0.075))) - ) - (pad 5 smd rect (at 1.1 0) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) - (net 9 VIN)) - (pad 6 smd rect (at 1.1 -0.95) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) - (net 6 "Net-(C2-Pad1)")) - (pad 4 smd rect (at 1.1 0.95) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask)) - (pad 3 smd rect (at -1.1 0.95) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) - (net 8 "Net-(R1-Pad2)")) - (pad 2 smd rect (at -1.1 0) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) - (net 1 GND)) - (pad 1 smd rect (at -1.1 -0.95) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) - (net 5 "Net-(C2-Pad2)")) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23-6.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Capacitor_SMD:C_0603_1608Metric (layer F.Cu) (tedit 5B301BBE) (tstamp 5EA5275F) - (at 213 122.6875 90) - (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags capacitor) - (path /5EA9335D) - (attr smd) - (fp_text reference R2 (at 0 -1.43 90) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 10k (at 0 1.43 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text user %R (at -0.01 0 90) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.06))) - ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (pad 2 smd roundrect (at 0.7875 0 90) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.7875 0 90) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 8 "Net-(R1-Pad2)")) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Capacitor_SMD:C_0603_1608Metric (layer F.Cu) (tedit 5B301BBE) (tstamp 5EA5274E) - (at 212 118.2125 270) - (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags capacitor) - (path /5EA97A46) - (attr smd) - (fp_text reference R1 (at 0 -1.43 90) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 21.6k (at 0 1.43 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.06))) - ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (pad 2 smd roundrect (at 0.7875 0 270) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 8 "Net-(R1-Pad2)")) - (pad 1 smd roundrect (at -0.7875 0 270) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 7 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Capacitor_SMD:C_0603_1608Metric (layer F.Cu) (tedit 5B301BBE) (tstamp 5EA5273D) - (at 216 117.25 180) - (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags capacitor) - (path /5EA98FF3) - (attr smd) - (fp_text reference L1 (at 0 -1.43) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 15uH (at 0 1.43) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text user %R (at -0.02 0) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.06))) - ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (pad 2 smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 7 +3V3)) - (pad 1 smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 6 "Net-(C2-Pad1)")) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Capacitor_SMD:C_0603_1608Metric (layer F.Cu) (tedit 5B301BBE) (tstamp 5EA5267C) - (at 213.75 118.25 90) - (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags capacitor) - (path /5EAA32F8) - (attr smd) - (fp_text reference D2 (at 0 -1.43 90) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value D (at 0 1.43 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.06))) - ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (pad 2 smd roundrect (at 0.7875 0 90) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 7 +3V3)) - (pad 1 smd roundrect (at -0.7875 0 90) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 5 "Net-(C2-Pad2)")) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Capacitor_SMD:C_0603_1608Metric (layer F.Cu) (tedit 5B301BBE) (tstamp 5EA53189) - (at 219.6125 120.5) - (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags capacitor) - (path /5EAA6CF0) - (attr smd) - (fp_text reference D1 (at 0 -1.43) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value D_Schottky (at 0 1.43) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.06))) - ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (pad 2 smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 6 "Net-(C2-Pad1)")) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Capacitor_SMD:C_0603_1608Metric (layer F.Cu) (tedit 5B301BBE) (tstamp 5EA5265A) - (at 216 115.75 180) - (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags capacitor) - (path /5EA8FE06) - (attr smd) - (fp_text reference C3 (at 0 -1.43) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 20u (at 0 1.43) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.06))) - ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (pad 2 smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 7 +3V3)) - (pad 1 smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Capacitor_SMD:C_0603_1608Metric (layer F.Cu) (tedit 5B301BBE) (tstamp 5EA52649) - (at 216 119 180) - (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags capacitor) - (path /5EA9B381) - (attr smd) - (fp_text reference C2 (at 0 -1.43) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 100n (at 0 1.43) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text user %R (at 0.25 -0.26) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.06))) - ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (pad 2 smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 5 "Net-(C2-Pad2)")) - (pad 1 smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 6 "Net-(C2-Pad1)")) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Capacitor_SMD:C_0603_1608Metric (layer F.Cu) (tedit 5B301BBE) (tstamp 5EA52638) - (at 219.6 122.05 180) - (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags capacitor) - (path /5EA86A24) - (attr smd) - (fp_text reference C1 (at 0 -1.43) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 20u (at 0 1.43) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.06))) - ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (pad 2 smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 9 VIN)) - (pad 1 smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module RF_Shielding:Laird_Technologies_BMI-S-101_13.66x12.70mm (layer F.Cu) (tedit 5B85D967) (tstamp 5EA52CAC) - (at 215.75 119.335) - (descr "Laird Technologies BMI-S-101 Shielding Cabinet One Piece SMD 13.66x12.70mm (https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf)") - (tags "Shielding Cabinet") - (path /5EA793A8) - (clearance 0.25) - (attr smd) - (fp_text reference J3 (at 0 -8.23) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value RF_Shield_One_Piece (at 0 8.23) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 5.5 -5.98) (end -5.5 -5.98) (layer F.CrtYd) (width 0.05)) - (fp_line (start 5.5 5.98) (end -5.5 5.98) (layer F.CrtYd) (width 0.05)) - (fp_line (start -5.5 -5.98) (end -5.5 5.98) (layer F.CrtYd) (width 0.05)) - (fp_line (start 5.5 -5.98) (end 5.5 5.98) (layer F.CrtYd) (width 0.05)) - (fp_line (start 6.5 2.2) (end 6.5 3.8) (layer F.SilkS) (width 0.12)) - (fp_line (start -6.5 2.2) (end -6.5 3.8) (layer F.SilkS) (width 0.12)) - (fp_line (start 6.5 -3.8) (end 6.5 -2.2) (layer F.SilkS) (width 0.12)) - (fp_line (start -6.5 -3.8) (end -6.5 -2.2) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.2 6.98) (end 3.8 6.98) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.2 -6.98) (end 3.8 -6.98) (layer F.SilkS) (width 0.12)) - (fp_line (start -3.8 6.98) (end -2.2 6.98) (layer F.SilkS) (width 0.12)) - (fp_line (start -3.8 -6.98) (end -2.2 -6.98) (layer F.SilkS) (width 0.12)) - (fp_line (start 6.35 -6.83) (end -6.35 -6.83) (layer F.Fab) (width 0.1)) - (fp_line (start 6.35 6.83) (end 6.35 -6.83) (layer F.Fab) (width 0.1)) - (fp_line (start -6.35 6.83) (end 6.35 6.83) (layer F.Fab) (width 0.1)) - (fp_line (start -6.35 -6.83) (end -6.35 6.83) (layer F.Fab) (width 0.1)) - (fp_line (start 7 -7.5) (end -7 -7.5) (layer F.CrtYd) (width 0.05)) - (fp_line (start 7 7.5) (end 7 -7.5) (layer F.CrtYd) (width 0.05)) - (fp_line (start -7 7.5) (end 7 7.5) (layer F.CrtYd) (width 0.05)) - (fp_line (start -7 -7.5) (end -7 7.5) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (pad 1 smd rect (at 6.25 5.165) (size 1 2.13) (layers F.Cu F.Paste F.Mask) - (net 1 GND)) - (pad 1 smd rect (at -6.25 5.165) (size 1 2.13) (layers F.Cu F.Paste F.Mask) - (net 1 GND)) - (pad 1 smd rect (at 6.25 0) (size 1 3.8) (layers F.Cu F.Paste F.Mask) - (net 1 GND)) - (pad 1 smd rect (at -6.25 0) (size 1 3.8) (layers F.Cu F.Paste F.Mask) - (net 1 GND)) - (pad 1 smd rect (at 6.25 -5.165) (size 1 2.13) (layers F.Cu F.Paste F.Mask) - (net 1 GND)) - (pad 1 smd rect (at -6.25 -5.165) (size 1 2.13) (layers F.Cu F.Paste F.Mask) - (net 1 GND)) - (pad 1 smd rect (at 4.925 6.73) (size 1.65 1) (layers F.Cu F.Paste F.Mask) - (net 1 GND)) - (pad 1 smd rect (at 4.925 -6.73) (size 1.65 1) (layers F.Cu F.Paste F.Mask) - (net 1 GND)) - (pad 1 smd rect (at 0 6.73) (size 3.8 1) (layers F.Cu F.Paste F.Mask) - (net 1 GND)) - (pad 1 smd rect (at 0 -6.73) (size 3.8 1) (layers F.Cu F.Paste F.Mask) - (net 1 GND)) - (pad 1 smd rect (at -4.925 6.73) (size 1.65 1) (layers F.Cu F.Paste F.Mask) - (net 1 GND)) - (pad 1 smd rect (at -4.925 -6.73) (size 1.65 1) (layers F.Cu F.Paste F.Mask) - (net 1 GND)) - (pad 1 smd rect (at -6.25 6.73) (size 1 1) (layers F.Cu F.Paste F.Mask) - (net 1 GND)) - (pad 1 smd rect (at 6.25 6.73) (size 1 1) (layers F.Cu F.Paste F.Mask) - (net 1 GND)) - (pad 1 smd rect (at 6.25 -6.73) (size 1 1) (layers F.Cu F.Paste F.Mask) - (net 1 GND)) - (pad 1 smd rect (at -6.25 -6.73) (size 1 1) (layers F.Cu F.Paste F.Mask) - (net 1 GND)) - (model ${KISYS3DMOD}/RF_Shielding.3dshapes/Laird_Technologies_BMI-S-101_13.66x12.70mm.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - (module Connector_Coaxial:U.FL_Hirose_U.FL-R-SMT-1_Vertical (layer F.Cu) (tedit 5A1DBFC3) (tstamp 5EA511A7) - (at 228.75 86 90) + (at 228.75 87.07 90) (descr "Hirose U.FL Coaxial https://www.hirose.com/product/en/products/U.FL/U.FL-R-SMT-1%2810%29/") (tags "Hirose U.FL Coaxial") (path /5EA7379E) @@ -1732,43 +1545,43 @@ (fp_text value Antenna_Shield (at 0.475 3.2 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -1.32 -1) (end -2.02 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.32 1.8) (end -1.32 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.32 -1.8) (end -1.12 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.12 -1.8) (end -1.12 -2.5) (layer F.CrtYd) (width 0.05)) - (fp_line (start 2.08 -2.5) (end -1.12 -2.5) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.32 -1) (end -1.32 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 2.08 -1.8) (end 2.08 -2.5) (layer F.CrtYd) (width 0.05)) - (fp_line (start 2.08 -1.8) (end 2.28 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.885 -1.4) (end -0.885 -0.76) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.425 1.5) (end -0.425 1.3) (layer F.Fab) (width 0.1)) - (fp_line (start -0.425 1.3) (end -0.825 1.3) (layer F.Fab) (width 0.1)) - (fp_line (start -0.825 0.3) (end -0.825 1.3) (layer F.Fab) (width 0.1)) - (fp_line (start -1.075 0.3) (end -0.825 0.3) (layer F.Fab) (width 0.1)) - (fp_line (start -1.075 0.3) (end -1.075 -0.15) (layer F.Fab) (width 0.1)) - (fp_line (start -0.925 -0.3) (end -0.825 -0.3) (layer F.Fab) (width 0.1)) - (fp_line (start -0.825 -0.3) (end -0.825 -1.3) (layer F.Fab) (width 0.1)) - (fp_line (start -0.425 -1.5) (end -0.425 -1.3) (layer F.Fab) (width 0.1)) - (fp_line (start -0.425 -1.3) (end -0.825 -1.3) (layer F.Fab) (width 0.1)) - (fp_line (start -0.425 1.5) (end 1.375 1.5) (layer F.Fab) (width 0.1)) - (fp_line (start 1.375 1.5) (end 1.375 1.3) (layer F.Fab) (width 0.1)) - (fp_line (start 1.775 1.3) (end 1.375 1.3) (layer F.Fab) (width 0.1)) - (fp_line (start 1.775 -1.3) (end 1.775 1.3) (layer F.Fab) (width 0.1)) - (fp_line (start -0.425 -1.5) (end 1.375 -1.5) (layer F.Fab) (width 0.1)) - (fp_line (start 1.375 -1.5) (end 1.375 -1.3) (layer F.Fab) (width 0.1)) - (fp_line (start 1.775 -1.3) (end 1.375 -1.3) (layer F.Fab) (width 0.1)) - (fp_line (start -0.925 -0.3) (end -1.075 -0.15) (layer F.Fab) (width 0.1)) - (fp_line (start -0.885 1.4) (end -0.885 0.76) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.885 -0.76) (end -1.515 -0.76) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.835 -1.35) (end 1.835 1.35) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.08 2.5) (end -1.12 2.5) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.12 2.5) (end -1.12 1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.32 1.8) (end -1.12 1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 2.28 1.8) (end 2.28 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 2.08 2.5) (end 2.08 1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 2.08 1.8) (end 2.28 1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.32 1) (end -2.02 1) (layer F.CrtYd) (width 0.05)) (fp_line (start -2.02 1) (end -2.02 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.32 1) (end -2.02 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.08 1.8) (end 2.28 1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.08 2.5) (end 2.08 1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.28 1.8) (end 2.28 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.32 1.8) (end -1.12 1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.12 2.5) (end -1.12 1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.08 2.5) (end -1.12 2.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.835 -1.35) (end 1.835 1.35) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.885 -0.76) (end -1.515 -0.76) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.885 1.4) (end -0.885 0.76) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.925 -0.3) (end -1.075 -0.15) (layer F.Fab) (width 0.1)) + (fp_line (start 1.775 -1.3) (end 1.375 -1.3) (layer F.Fab) (width 0.1)) + (fp_line (start 1.375 -1.5) (end 1.375 -1.3) (layer F.Fab) (width 0.1)) + (fp_line (start -0.425 -1.5) (end 1.375 -1.5) (layer F.Fab) (width 0.1)) + (fp_line (start 1.775 -1.3) (end 1.775 1.3) (layer F.Fab) (width 0.1)) + (fp_line (start 1.775 1.3) (end 1.375 1.3) (layer F.Fab) (width 0.1)) + (fp_line (start 1.375 1.5) (end 1.375 1.3) (layer F.Fab) (width 0.1)) + (fp_line (start -0.425 1.5) (end 1.375 1.5) (layer F.Fab) (width 0.1)) + (fp_line (start -0.425 -1.3) (end -0.825 -1.3) (layer F.Fab) (width 0.1)) + (fp_line (start -0.425 -1.5) (end -0.425 -1.3) (layer F.Fab) (width 0.1)) + (fp_line (start -0.825 -0.3) (end -0.825 -1.3) (layer F.Fab) (width 0.1)) + (fp_line (start -0.925 -0.3) (end -0.825 -0.3) (layer F.Fab) (width 0.1)) + (fp_line (start -1.075 0.3) (end -1.075 -0.15) (layer F.Fab) (width 0.1)) + (fp_line (start -1.075 0.3) (end -0.825 0.3) (layer F.Fab) (width 0.1)) + (fp_line (start -0.825 0.3) (end -0.825 1.3) (layer F.Fab) (width 0.1)) + (fp_line (start -0.425 1.3) (end -0.825 1.3) (layer F.Fab) (width 0.1)) + (fp_line (start -0.425 1.5) (end -0.425 1.3) (layer F.Fab) (width 0.1)) + (fp_line (start -0.885 -1.4) (end -0.885 -0.76) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.08 -1.8) (end 2.28 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.08 -1.8) (end 2.08 -2.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.32 -1) (end -1.32 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.08 -2.5) (end -1.12 -2.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.12 -1.8) (end -1.12 -2.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.32 -1.8) (end -1.12 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.32 1.8) (end -1.32 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.32 -1) (end -2.02 -1) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0.475 0) (layer F.Fab) (effects (font (size 0.6 0.6) (thickness 0.09))) ) @@ -1786,7 +1599,7 @@ ) (module Connector_Coaxial:U.FL_Hirose_U.FL-R-SMT-1_Vertical (layer F.Cu) (tedit 5A1DBFC3) (tstamp 5EE4BB66) - (at 206.8 86 90) + (at 206.78 87.07 90) (descr "Hirose U.FL Coaxial https://www.hirose.com/product/en/products/U.FL/U.FL-R-SMT-1%2810%29/") (tags "Hirose U.FL Coaxial") (path /5EA715B8) @@ -1797,43 +1610,43 @@ (fp_text value Antenna_Shield (at 0.475 3.2 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -1.32 -1) (end -2.02 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.32 1.8) (end -1.32 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.32 -1.8) (end -1.12 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.12 -1.8) (end -1.12 -2.5) (layer F.CrtYd) (width 0.05)) - (fp_line (start 2.08 -2.5) (end -1.12 -2.5) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.32 -1) (end -1.32 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 2.08 -1.8) (end 2.08 -2.5) (layer F.CrtYd) (width 0.05)) - (fp_line (start 2.08 -1.8) (end 2.28 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.885 -1.4) (end -0.885 -0.76) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.425 1.5) (end -0.425 1.3) (layer F.Fab) (width 0.1)) - (fp_line (start -0.425 1.3) (end -0.825 1.3) (layer F.Fab) (width 0.1)) - (fp_line (start -0.825 0.3) (end -0.825 1.3) (layer F.Fab) (width 0.1)) - (fp_line (start -1.075 0.3) (end -0.825 0.3) (layer F.Fab) (width 0.1)) - (fp_line (start -1.075 0.3) (end -1.075 -0.15) (layer F.Fab) (width 0.1)) - (fp_line (start -0.925 -0.3) (end -0.825 -0.3) (layer F.Fab) (width 0.1)) - (fp_line (start -0.825 -0.3) (end -0.825 -1.3) (layer F.Fab) (width 0.1)) - (fp_line (start -0.425 -1.5) (end -0.425 -1.3) (layer F.Fab) (width 0.1)) - (fp_line (start -0.425 -1.3) (end -0.825 -1.3) (layer F.Fab) (width 0.1)) - (fp_line (start -0.425 1.5) (end 1.375 1.5) (layer F.Fab) (width 0.1)) - (fp_line (start 1.375 1.5) (end 1.375 1.3) (layer F.Fab) (width 0.1)) - (fp_line (start 1.775 1.3) (end 1.375 1.3) (layer F.Fab) (width 0.1)) - (fp_line (start 1.775 -1.3) (end 1.775 1.3) (layer F.Fab) (width 0.1)) - (fp_line (start -0.425 -1.5) (end 1.375 -1.5) (layer F.Fab) (width 0.1)) - (fp_line (start 1.375 -1.5) (end 1.375 -1.3) (layer F.Fab) (width 0.1)) - (fp_line (start 1.775 -1.3) (end 1.375 -1.3) (layer F.Fab) (width 0.1)) - (fp_line (start -0.925 -0.3) (end -1.075 -0.15) (layer F.Fab) (width 0.1)) - (fp_line (start -0.885 1.4) (end -0.885 0.76) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.885 -0.76) (end -1.515 -0.76) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.835 -1.35) (end 1.835 1.35) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.08 2.5) (end -1.12 2.5) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.12 2.5) (end -1.12 1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.32 1.8) (end -1.12 1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 2.28 1.8) (end 2.28 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 2.08 2.5) (end 2.08 1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 2.08 1.8) (end 2.28 1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.32 1) (end -2.02 1) (layer F.CrtYd) (width 0.05)) (fp_line (start -2.02 1) (end -2.02 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.32 1) (end -2.02 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.08 1.8) (end 2.28 1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.08 2.5) (end 2.08 1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.28 1.8) (end 2.28 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.32 1.8) (end -1.12 1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.12 2.5) (end -1.12 1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.08 2.5) (end -1.12 2.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.835 -1.35) (end 1.835 1.35) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.885 -0.76) (end -1.515 -0.76) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.885 1.4) (end -0.885 0.76) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.925 -0.3) (end -1.075 -0.15) (layer F.Fab) (width 0.1)) + (fp_line (start 1.775 -1.3) (end 1.375 -1.3) (layer F.Fab) (width 0.1)) + (fp_line (start 1.375 -1.5) (end 1.375 -1.3) (layer F.Fab) (width 0.1)) + (fp_line (start -0.425 -1.5) (end 1.375 -1.5) (layer F.Fab) (width 0.1)) + (fp_line (start 1.775 -1.3) (end 1.775 1.3) (layer F.Fab) (width 0.1)) + (fp_line (start 1.775 1.3) (end 1.375 1.3) (layer F.Fab) (width 0.1)) + (fp_line (start 1.375 1.5) (end 1.375 1.3) (layer F.Fab) (width 0.1)) + (fp_line (start -0.425 1.5) (end 1.375 1.5) (layer F.Fab) (width 0.1)) + (fp_line (start -0.425 -1.3) (end -0.825 -1.3) (layer F.Fab) (width 0.1)) + (fp_line (start -0.425 -1.5) (end -0.425 -1.3) (layer F.Fab) (width 0.1)) + (fp_line (start -0.825 -0.3) (end -0.825 -1.3) (layer F.Fab) (width 0.1)) + (fp_line (start -0.925 -0.3) (end -0.825 -0.3) (layer F.Fab) (width 0.1)) + (fp_line (start -1.075 0.3) (end -1.075 -0.15) (layer F.Fab) (width 0.1)) + (fp_line (start -1.075 0.3) (end -0.825 0.3) (layer F.Fab) (width 0.1)) + (fp_line (start -0.825 0.3) (end -0.825 1.3) (layer F.Fab) (width 0.1)) + (fp_line (start -0.425 1.3) (end -0.825 1.3) (layer F.Fab) (width 0.1)) + (fp_line (start -0.425 1.5) (end -0.425 1.3) (layer F.Fab) (width 0.1)) + (fp_line (start -0.885 -1.4) (end -0.885 -0.76) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.08 -1.8) (end 2.28 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.08 -1.8) (end 2.08 -2.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.32 -1) (end -1.32 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.08 -2.5) (end -1.12 -2.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.12 -1.8) (end -1.12 -2.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.32 -1.8) (end -1.12 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.32 1.8) (end -1.32 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.32 -1) (end -2.02 -1) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0.475 0 270) (layer F.Fab) (effects (font (size 0.6 0.6) (thickness 0.09))) ) @@ -1862,86 +1675,102 @@ (fp_text value ESP32-WROOM-32U (at 0 11.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -9.12 -9.3) (end -9.5 -9.3) (layer F.SilkS) (width 0.12)) - (fp_line (start -9.12 -9.72) (end -9.12 -9.3) (layer F.SilkS) (width 0.12)) - (fp_line (start 9.12 -9.72) (end 9.12 -9.3) (layer F.SilkS) (width 0.12)) - (fp_line (start -9.12 -9.72) (end 9.12 -9.72) (layer F.SilkS) (width 0.12)) - (fp_line (start 9.12 9.72) (end 8.12 9.72) (layer F.SilkS) (width 0.12)) - (fp_line (start 9.12 9.1) (end 9.12 9.72) (layer F.SilkS) (width 0.12)) - (fp_line (start -9.12 9.72) (end -8.12 9.72) (layer F.SilkS) (width 0.12)) - (fp_line (start -9.12 9.1) (end -9.12 9.72) (layer F.SilkS) (width 0.12)) - (fp_line (start 9.75 -9.85) (end -9.75 -9.85) (layer F.CrtYd) (width 0.05)) - (fp_line (start -9 -8) (end -8.5 -8.5) (layer F.Fab) (width 0.1)) - (fp_line (start -8.5 -8.5) (end -9 -9) (layer F.Fab) (width 0.1)) - (fp_line (start -9 -8) (end -9 9.6) (layer F.Fab) (width 0.1)) - (fp_line (start 9.75 -9.85) (end 9.75 10.5) (layer F.CrtYd) (width 0.05)) - (fp_line (start -9.75 10.5) (end 9.75 10.5) (layer F.CrtYd) (width 0.05)) - (fp_line (start -9.75 10.5) (end -9.75 -9.85) (layer F.CrtYd) (width 0.05)) - (fp_line (start -9 -9.6) (end 9 -9.6) (layer F.Fab) (width 0.1)) - (fp_line (start -9 -9.6) (end -9 -9) (layer F.Fab) (width 0.1)) - (fp_line (start -9 9.6) (end 9 9.6) (layer F.Fab) (width 0.1)) (fp_line (start 9 9.6) (end 9 -9.6) (layer F.Fab) (width 0.1)) + (fp_line (start -9 9.6) (end 9 9.6) (layer F.Fab) (width 0.1)) + (fp_line (start -9 -9.6) (end -9 -9) (layer F.Fab) (width 0.1)) + (fp_line (start -9 -9.6) (end 9 -9.6) (layer F.Fab) (width 0.1)) + (fp_line (start -9.75 10.5) (end -9.75 -9.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start -9.75 10.5) (end 9.75 10.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start 9.75 -9.85) (end 9.75 10.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start -9 -8) (end -9 9.6) (layer F.Fab) (width 0.1)) + (fp_line (start -8.5 -8.5) (end -9 -9) (layer F.Fab) (width 0.1)) + (fp_line (start -9 -8) (end -8.5 -8.5) (layer F.Fab) (width 0.1)) + (fp_line (start 9.75 -9.85) (end -9.75 -9.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start -9.12 9.1) (end -9.12 9.72) (layer F.SilkS) (width 0.12)) + (fp_line (start -9.12 9.72) (end -8.12 9.72) (layer F.SilkS) (width 0.12)) + (fp_line (start 9.12 9.1) (end 9.12 9.72) (layer F.SilkS) (width 0.12)) + (fp_line (start 9.12 9.72) (end 8.12 9.72) (layer F.SilkS) (width 0.12)) + (fp_line (start -9.12 -9.72) (end 9.12 -9.72) (layer F.SilkS) (width 0.12)) + (fp_line (start 9.12 -9.72) (end 9.12 -9.3) (layer F.SilkS) (width 0.12)) + (fp_line (start -9.12 -9.72) (end -9.12 -9.3) (layer F.SilkS) (width 0.12)) + (fp_line (start -9.12 -9.3) (end -9.5 -9.3) (layer F.SilkS) (width 0.12)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (pad 38 smd rect (at 8.5 -8.255) (size 2 0.9) (layers F.Cu F.Paste F.Mask) (net 1 GND)) (pad 37 smd rect (at 8.5 -6.985) (size 2 0.9) (layers F.Cu F.Paste F.Mask) - (net 23 "Net-(U1-Pad37)")) + (net 20 "Net-(U1-Pad37)")) (pad 36 smd rect (at 8.5 -5.715) (size 2 0.9) (layers F.Cu F.Paste F.Mask) - (net 24 LORSCK)) + (net 21 LORSCK)) (pad 35 smd rect (at 8.5 -4.445) (size 2 0.9) (layers F.Cu F.Paste F.Mask) - (net 25 TX)) + (net 22 TX)) (pad 34 smd rect (at 8.5 -3.175) (size 2 0.9) (layers F.Cu F.Paste F.Mask) - (net 26 RX)) + (net 23 RX)) (pad 33 smd rect (at 8.5 -1.905) (size 2 0.9) (layers F.Cu F.Paste F.Mask) - (net 27 LORMISO)) - (pad 32 smd rect (at 8.5 -0.635) (size 2 0.9) (layers F.Cu F.Paste F.Mask)) + (net 24 LORMISO)) + (pad 32 smd rect (at 8.5 -0.635) (size 2 0.9) (layers F.Cu F.Paste F.Mask) + (net 37 "Net-(U1-Pad32)")) (pad 31 smd rect (at 8.5 0.635) (size 2 0.9) (layers F.Cu F.Paste F.Mask) - (net 28 LORMOSI)) - (pad 30 smd rect (at 8.5 1.905) (size 2 0.9) (layers F.Cu F.Paste F.Mask)) + (net 25 LORMOSI)) + (pad 30 smd rect (at 8.5 1.905) (size 2 0.9) (layers F.Cu F.Paste F.Mask) + (net 38 "Net-(U1-Pad30)")) (pad 29 smd rect (at 8.5 3.175) (size 2 0.9) (layers F.Cu F.Paste F.Mask) - (net 29 "Net-(U1-Pad29)")) - (pad 28 smd rect (at 8.5 4.445) (size 2 0.9) (layers F.Cu F.Paste F.Mask)) - (pad 27 smd rect (at 8.5 5.715) (size 2 0.9) (layers F.Cu F.Paste F.Mask)) + (net 26 "Net-(U1-Pad29)")) + (pad 28 smd rect (at 8.5 4.445) (size 2 0.9) (layers F.Cu F.Paste F.Mask) + (net 39 "Net-(U1-Pad28)")) + (pad 27 smd rect (at 8.5 5.715) (size 2 0.9) (layers F.Cu F.Paste F.Mask) + (net 40 "Net-(U1-Pad27)")) (pad 26 smd rect (at 8.5 6.985) (size 2 0.9) (layers F.Cu F.Paste F.Mask) - (net 30 "Net-(U1-Pad26)")) + (net 27 "Net-(U1-Pad26)")) (pad 25 smd rect (at 8.5 8.255) (size 2 0.9) (layers F.Cu F.Paste F.Mask) - (net 18 IO0)) - (pad 24 smd rect (at 5.715 9.255 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)) + (net 15 IO0)) + (pad 24 smd rect (at 5.715 9.255 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask) + (net 41 "Net-(U1-Pad24)")) (pad 23 smd rect (at 4.445 9.255 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask) - (net 31 "Net-(U1-Pad23)")) - (pad 22 smd rect (at 3.175 9.255 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)) - (pad 21 smd rect (at 1.905 9.255 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)) - (pad 20 smd rect (at 0.635 9.255 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)) - (pad 19 smd rect (at -0.635 9.255 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)) - (pad 18 smd rect (at -1.905 9.255 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)) - (pad 17 smd rect (at -3.175 9.255 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)) + (net 28 "Net-(U1-Pad23)")) + (pad 22 smd rect (at 3.175 9.255 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask) + (net 42 "Net-(U1-Pad22)")) + (pad 21 smd rect (at 1.905 9.255 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask) + (net 43 "Net-(U1-Pad21)")) + (pad 20 smd rect (at 0.635 9.255 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask) + (net 44 "Net-(U1-Pad20)")) + (pad 19 smd rect (at -0.635 9.255 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask) + (net 45 "Net-(U1-Pad19)")) + (pad 18 smd rect (at -1.905 9.255 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask) + (net 46 "Net-(U1-Pad18)")) + (pad 17 smd rect (at -3.175 9.255 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask) + (net 47 "Net-(U1-Pad17)")) (pad 16 smd rect (at -4.445 9.255 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask) - (net 32 "Net-(U1-Pad16)")) + (net 29 "Net-(U1-Pad16)")) (pad 15 smd rect (at -5.715 9.255 270) (size 2 0.9) (layers F.Cu F.Paste F.Mask) (net 1 GND)) - (pad 14 smd rect (at -8.5 8.255) (size 2 0.9) (layers F.Cu F.Paste F.Mask)) + (pad 14 smd rect (at -8.5 8.255) (size 2 0.9) (layers F.Cu F.Paste F.Mask) + (net 48 "Net-(U1-Pad14)")) (pad 13 smd rect (at -8.5 6.985) (size 2 0.9) (layers F.Cu F.Paste F.Mask) - (net 33 "Net-(U1-Pad13)")) + (net 30 "Net-(U1-Pad13)")) (pad 12 smd rect (at -8.5 5.715) (size 2 0.9) (layers F.Cu F.Paste F.Mask) - (net 34 "Net-(U1-Pad12)")) - (pad 11 smd rect (at -8.5 4.445) (size 2 0.9) (layers F.Cu F.Paste F.Mask)) + (net 31 "Net-(U1-Pad12)")) + (pad 11 smd rect (at -8.5 4.445) (size 2 0.9) (layers F.Cu F.Paste F.Mask) + (net 49 "Net-(U1-Pad11)")) (pad 10 smd rect (at -8.5 3.175) (size 2 0.9) (layers F.Cu F.Paste F.Mask) - (net 35 "Net-(U1-Pad10)")) + (net 32 "Net-(U1-Pad10)")) (pad 9 smd rect (at -8.5 1.905) (size 2 0.9) (layers F.Cu F.Paste F.Mask) - (net 36 "Net-(U1-Pad9)")) + (net 33 "Net-(U1-Pad9)")) (pad 8 smd rect (at -8.5 0.635) (size 2 0.9) (layers F.Cu F.Paste F.Mask) - (net 37 "Net-(U1-Pad8)")) + (net 34 "Net-(U1-Pad8)")) (pad 7 smd rect (at -8.5 -0.635) (size 2 0.9) (layers F.Cu F.Paste F.Mask) - (net 21 "Net-(R4-Pad2)")) - (pad 6 smd rect (at -8.5 -1.905) (size 2 0.9) (layers F.Cu F.Paste F.Mask)) - (pad 5 smd rect (at -8.5 -3.175) (size 2 0.9) (layers F.Cu F.Paste F.Mask)) - (pad 4 smd rect (at -8.5 -4.445) (size 2 0.9) (layers F.Cu F.Paste F.Mask)) + (net 18 "Net-(R4-Pad2)")) + (pad 6 smd rect (at -8.5 -1.905) (size 2 0.9) (layers F.Cu F.Paste F.Mask) + (net 50 "Net-(U1-Pad6)")) + (pad 5 smd rect (at -8.5 -3.175) (size 2 0.9) (layers F.Cu F.Paste F.Mask) + (net 51 "Net-(U1-Pad5)")) + (pad 4 smd rect (at -8.5 -4.445) (size 2 0.9) (layers F.Cu F.Paste F.Mask) + (net 52 "Net-(U1-Pad4)")) (pad 3 smd rect (at -8.5 -5.715) (size 2 0.9) (layers F.Cu F.Paste F.Mask) - (net 16 EN)) + (net 13 EN)) (pad 2 smd rect (at -8.5 -6.985) (size 2 0.9) (layers F.Cu F.Paste F.Mask) - (net 7 +3V3)) + (net 5 +3V3)) (pad 1 smd rect (at -8.5 -8.255) (size 2 0.9) (layers F.Cu F.Paste F.Mask) (net 1 GND)) (pad 39 smd rect (at -1 -0.755) (size 5 5) (layers F.Cu F.Paste F.Mask) @@ -1953,490 +1782,462 @@ ) ) + (gr_circle (center 169 111) (end 170.5 111) (layer Edge.Cuts) (width 0.15) (tstamp 60C9DD0A)) + (gr_circle (center 230 111) (end 231.5 111) (layer Edge.Cuts) (width 0.15)) (gr_line (start 166 127.5) (end 166 84) (layer Edge.Cuts) (width 0.05) (tstamp 5EA8B9C0)) (gr_line (start 233 127.5) (end 166 127.5) (layer Edge.Cuts) (width 0.05)) (gr_line (start 233 127) (end 233 127.5) (layer Edge.Cuts) (width 0.05)) (gr_line (start 233 84) (end 233 127) (layer Edge.Cuts) (width 0.05)) (gr_line (start 166 84) (end 233 84) (layer Edge.Cuts) (width 0.05)) - (via (at 205.5 85.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (via (at 208.2 85.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (via (at 206.05 89.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5EADF19D)) - (segment (start 214.5 121.9) (end 214.65 121.75) (width 0.25) (layer F.Cu) (net 1)) - (segment (start 213 121.9) (end 214.5 121.9) (width 0.25) (layer F.Cu) (net 1)) + (via (at 227.46 85.59) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 60C917A7) (status 30)) + (via (at 230.16 85.59) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 60C917A8) (status 30)) + (via (at 205.48 86.57) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (status 30)) + (via (at 208.18 86.57) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (status 30)) (segment (start 209.5 114.02) (end 210.825 114.02) (width 0.25) (layer F.Cu) (net 1)) - (segment (start 212.94999 118.705996) (end 212.94999 120.44999) (width 0.25) (layer F.Cu) (net 1)) - (segment (start 209.86251 118.23749) (end 212.481484 118.23749) (width 0.25) (layer F.Cu) (net 1)) - (segment (start 209.5 120.75) (end 209.5 118.6) (width 0.25) (layer F.Cu) (net 1)) - (segment (start 212.481484 118.23749) (end 212.94999 118.705996) (width 0.25) (layer F.Cu) (net 1)) - (segment (start 209.5 118.6) (end 209.86251 118.23749) (width 0.25) (layer F.Cu) (net 1)) (segment (start 209.5 112.605) (end 210.825 112.605) (width 0.25) (layer F.Cu) (net 1)) - (segment (start 179.25 115.25) (end 180 116) (width 0.25) (layer F.Cu) (net 1)) - (segment (start 178.0625 115.25) (end 179.25 115.25) (width 0.25) (layer F.Cu) (net 1)) - (segment (start 182.4025 123.34) (end 182.4875 123.425) (width 0.25) (layer F.Cu) (net 1)) - (segment (start 181.3 123.34) (end 182.4025 123.34) (width 0.25) (layer F.Cu) (net 1)) - (segment (start 181.3 123.34) (end 181.3 121.8) (width 0.25) (layer F.Cu) (net 1)) - (segment (start 177.5125 125.1125) (end 176.625 126) (width 0.25) (layer F.Cu) (net 1)) - (segment (start 177.5125 123.425) (end 177.5125 125.1125) (width 0.25) (layer F.Cu) (net 1)) - (segment (start 182.4875 125.1125) (end 183.375 126) (width 0.25) (layer F.Cu) (net 1)) - (segment (start 182.4875 123.425) (end 182.4875 125.1125) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 179.25 115.25) (end 180 116) (width 0.25) (layer F.Cu) (net 1) (status 30)) + (segment (start 178.0625 115.25) (end 179.25 115.25) (width 0.25) (layer F.Cu) (net 1) (status 30)) + (segment (start 182.4025 123.34) (end 182.4875 123.425) (width 0.25) (layer F.Cu) (net 1) (status 30)) + (segment (start 181.3 123.34) (end 182.4025 123.34) (width 0.25) (layer F.Cu) (net 1) (status 30)) + (segment (start 181.3 123.34) (end 181.3 121.8) (width 0.25) (layer F.Cu) (net 1) (status 10)) + (segment (start 177.5125 125.1125) (end 176.625 126) (width 0.25) (layer F.Cu) (net 1) (status 20)) + (segment (start 177.5125 123.425) (end 177.5125 125.1125) (width 0.25) (layer F.Cu) (net 1) (status 10)) + (segment (start 182.4875 125.1125) (end 183.375 126) (width 0.25) (layer F.Cu) (net 1) (status 20)) + (segment (start 182.4875 123.425) (end 182.4875 125.1125) (width 0.25) (layer F.Cu) (net 1) (status 10)) (segment (start 176.8 114.9) (end 176.7 114.9) (width 0.25) (layer F.Cu) (net 1)) - (segment (start 178.0625 115.25) (end 177.15 115.25) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 178.0625 115.25) (end 177.15 115.25) (width 0.25) (layer F.Cu) (net 1) (status 10)) (segment (start 177.15 115.25) (end 176.8 114.9) (width 0.25) (layer F.Cu) (net 1)) - (segment (start 214.304998 104.9) (end 214.544999 105.140001) (width 0.25) (layer F.Cu) (net 1)) - (segment (start 212.25 104.9) (end 214.304998 104.9) (width 0.25) (layer F.Cu) (net 1)) - (segment (start 192.472499 104.9125) (end 192.7 105.140001) (width 0.25) (layer F.Cu) (net 1)) - (segment (start 190.475 104.9125) (end 192.472499 104.9125) (width 0.25) (layer F.Cu) (net 1)) - (segment (start 200.0875 120.175) (end 200.0875 119.7) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 214.304998 104.9) (end 214.544999 105.140001) (width 0.25) (layer F.Cu) (net 1) (status 30)) + (segment (start 212.25 104.9) (end 214.304998 104.9) (width 0.25) (layer F.Cu) (net 1) (status 30)) + (segment (start 192.472499 104.9125) (end 192.7 105.140001) (width 0.25) (layer F.Cu) (net 1) (status 30)) + (segment (start 190.475 104.9125) (end 192.472499 104.9125) (width 0.25) (layer F.Cu) (net 1) (status 30)) + (segment (start 200.0875 120.175) (end 200.0875 119.7) (width 0.25) (layer F.Cu) (net 1) (status 20)) (segment (start 200.0875 121.147502) (end 200.0875 120.175) (width 0.25) (layer F.Cu) (net 1)) (segment (start 199.035002 122.2) (end 200.0875 121.147502) (width 0.25) (layer F.Cu) (net 1)) - (segment (start 196.1 122.2) (end 199.035002 122.2) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 196.1 122.2) (end 199.035002 122.2) (width 0.25) (layer F.Cu) (net 1) (status 10)) (segment (start 210.825 126.065) (end 209.5 126.065) (width 0.25) (layer F.Cu) (net 1)) - (segment (start 220.675 112.605) (end 222 112.605) (width 0.25) (layer F.Cu) (net 1)) (segment (start 222 126.065) (end 220.675 126.065) (width 0.25) (layer F.Cu) (net 1)) - (via (at 206.05 92.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (via (at 206.05 91.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (via (at 206.05 90.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (via (at 207.6 90.75) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (via (at 207.75 89.75) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (via (at 207.75 88.75) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (via (at 206.05 88.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (segment (start 207.75 88.75) (end 207.75 91.75) (width 0.25) (layer F.Cu) (net 1)) - (segment (start 206.75 93) (end 206.75 92.75) (width 0.25) (layer F.Cu) (net 1)) - (via (at 206.75 93) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) (segment (start 206.55 92.75) (end 206.05 92.25) (width 0.25) (layer F.Cu) (net 1)) - (via (at 207.6 91.75) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (segment (start 208.05 95.140001) (end 207.5 94.590001) (width 0.25) (layer F.Cu) (net 1)) - (segment (start 206.75 93) (end 207.5 93.75) (width 0.25) (layer F.Cu) (net 1)) - (via (at 207.5 93.8) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (segment (start 207.5 94.590001) (end 207.5 93.8) (width 0.25) (layer F.Cu) (net 1)) - (segment (start 209.3 95.140001) (end 208.05 95.140001) (width 0.25) (layer F.Cu) (net 1)) - (segment (start 207.5 93.75) (end 207.5 93.8) (width 0.25) (layer F.Cu) (net 1)) (segment (start 208.209999 91.140001) (end 207.6 91.75) (width 0.25) (layer F.Cu) (net 1)) - (segment (start 209.3 91.140001) (end 208.209999 91.140001) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 209.3 91.140001) (end 208.209999 91.140001) (width 0.25) (layer F.Cu) (net 1) (status 10)) (segment (start 207.990001 91.140001) (end 207.6 90.75) (width 0.25) (layer F.Cu) (net 1)) - (segment (start 209.3 91.140001) (end 207.990001 91.140001) (width 0.25) (layer F.Cu) (net 1)) - (segment (start 206.8 87.05) (end 207 87.05) (width 0.25) (layer F.Cu) (net 2)) - (segment (start 206.8 92) (end 206.8 87.05) (width 0.25) (layer F.Cu) (net 2)) - (segment (start 209.3 93.140001) (end 208.934995 92.774996) (width 0.25) (layer F.Cu) (net 2)) + (segment (start 209.3 91.140001) (end 207.990001 91.140001) (width 0.25) (layer F.Cu) (net 1) (status 10)) + (segment (start 230.844998 90.84) (end 231.144999 91.140001) (width 0.25) (layer F.Cu) (net 1) (status 30)) + (segment (start 229.56 90.84) (end 230.844998 90.84) (width 0.25) (layer F.Cu) (net 1) (status 20)) + (segment (start 230.259981 91.140001) (end 229.56 91.839982) (width 0.25) (layer F.Cu) (net 1) (status 10)) + (segment (start 231.144999 91.140001) (end 230.259981 91.140001) (width 0.25) (layer F.Cu) (net 1) (status 30)) + (segment (start 229.71 89.84) (end 229.71 91.689982) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 229.71 91.689982) (end 229.56 91.839982) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 209.380588 118.405001) (end 209.804 117.981589) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 208.695001 118.405001) (end 209.380588 118.405001) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 209.804 117.981589) (end 209.804 116.332) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 208.695001 118.405001) (end 211.623001 118.405001) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 211.623001 118.405001) (end 211.836 118.618) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 206.78 88.12) (end 206.98 88.12) (width 0.25) (layer F.Cu) (net 2) (status 30)) + (segment (start 206.8 88.14) (end 206.78 88.12) (width 0.25) (layer F.Cu) (net 2)) + (segment (start 206.8 92) (end 206.8 88.14) (width 0.25) (layer F.Cu) (net 2)) (segment (start 207.574996 92.774996) (end 206.8 92) (width 0.25) (layer F.Cu) (net 2)) (segment (start 208.934995 92.774996) (end 207.574996 92.774996) (width 0.25) (layer F.Cu) (net 2)) - (segment (start 229.894999 93.140001) (end 228.75 91.995002) (width 0.25) (layer F.Cu) (net 3)) - (segment (start 228.75 87.825) (end 228.75 87.05) (width 0.25) (layer F.Cu) (net 3)) - (segment (start 228.75 91.995002) (end 228.75 87.825) (width 0.25) (layer F.Cu) (net 3)) - (segment (start 231.144999 93.140001) (end 229.894999 93.140001) (width 0.25) (layer F.Cu) (net 3)) - (segment (start 178.7 123.34) (end 178.7 121.05) (width 0.25) (layer F.Cu) (net 4)) + (segment (start 209.3 93.140001) (end 208.934995 92.774996) (width 0.25) (layer F.Cu) (net 2)) + (segment (start 228.735001 88.134999) (end 228.75 88.12) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 228.735001 92.065002) (end 228.735001 88.134999) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 229.81 93.140001) (end 228.735001 92.065002) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 231.144999 93.140001) (end 229.81 93.140001) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 178.7 123.34) (end 178.7 121.05) (width 0.25) (layer F.Cu) (net 4) (status 10)) (segment (start 178.65 121) (end 178.7 121.05) (width 0.25) (layer F.Cu) (net 4)) - (segment (start 176.0375 121) (end 178.65 121) (width 0.25) (layer F.Cu) (net 4)) + (segment (start 176.0375 121) (end 178.65 121) (width 0.25) (layer F.Cu) (net 4) (status 10)) (via (at 173.3 121) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 4)) - (segment (start 172.475 121) (end 173.3 121) (width 0.25) (layer F.Cu) (net 4)) + (segment (start 172.475 121) (end 173.3 121) (width 0.25) (layer F.Cu) (net 4) (status 10)) (via (at 177.1 121) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 4)) (segment (start 173.3 121) (end 177.1 121) (width 0.25) (layer B.Cu) (net 4)) - (segment (start 172.475 122.875) (end 172.25 123.1) (width 0.25) (layer F.Cu) (net 4)) - (segment (start 172.475 121) (end 172.475 122.875) (width 0.25) (layer F.Cu) (net 4)) - (segment (start 178.75 118.927002) (end 178.75 117.9375) (width 0.25) (layer F.Cu) (net 4)) + (segment (start 172.475 122.875) (end 172.25 123.1) (width 0.25) (layer F.Cu) (net 4) (status 30)) + (segment (start 172.475 121) (end 172.475 122.875) (width 0.25) (layer F.Cu) (net 4) (status 30)) + (segment (start 178.75 118.927002) (end 178.75 117.9375) (width 0.25) (layer F.Cu) (net 4) (status 20)) (segment (start 178.7 121.05) (end 178.75 121) (width 0.25) (layer F.Cu) (net 4)) (segment (start 178.75 121) (end 178.75 118.927002) (width 0.25) (layer F.Cu) (net 4)) - (segment (start 198.4625 123.2375) (end 198.5 123.2) (width 0.25) (layer F.Cu) (net 4)) - (segment (start 198.4625 126.25) (end 198.4625 123.2375) (width 0.25) (layer F.Cu) (net 4)) - (segment (start 198.45 123.15) (end 198.5 123.2) (width 0.25) (layer F.Cu) (net 4)) - (segment (start 196.1 123.15) (end 198.45 123.15) (width 0.25) (layer F.Cu) (net 4)) - (segment (start 193.9 123.15) (end 196.1 123.15) (width 0.25) (layer F.Cu) (net 4)) - (segment (start 193.9 121.25) (end 193.9 123.15) (width 0.25) (layer F.Cu) (net 4)) - (segment (start 193.9 123.15) (end 192.35 123.15) (width 0.25) (layer F.Cu) (net 4)) - (segment (start 191.7125 123.7875) (end 191 123.7875) (width 0.25) (layer F.Cu) (net 4)) + (segment (start 198.4625 123.2375) (end 198.5 123.2) (width 0.25) (layer F.Cu) (net 4) (status 30)) + (segment (start 198.4625 126.25) (end 198.4625 123.2375) (width 0.25) (layer F.Cu) (net 4) (status 30)) + (segment (start 198.45 123.15) (end 198.5 123.2) (width 0.25) (layer F.Cu) (net 4) (status 30)) + (segment (start 196.1 123.15) (end 198.45 123.15) (width 0.25) (layer F.Cu) (net 4) (status 30)) + (segment (start 193.9 123.15) (end 196.1 123.15) (width 0.25) (layer F.Cu) (net 4) (status 30)) + (segment (start 193.9 121.25) (end 193.9 123.15) (width 0.25) (layer F.Cu) (net 4) (status 30)) + (segment (start 193.9 123.15) (end 192.35 123.15) (width 0.25) (layer F.Cu) (net 4) (status 10)) + (segment (start 191.7125 123.7875) (end 191 123.7875) (width 0.25) (layer F.Cu) (net 4) (status 20)) (segment (start 192.35 123.15) (end 191.7125 123.7875) (width 0.25) (layer F.Cu) (net 4)) (via (at 189.25 123.75) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 4)) - (segment (start 191 123.7875) (end 189.2875 123.7875) (width 0.25) (layer F.Cu) (net 4)) + (segment (start 191 123.7875) (end 189.2875 123.7875) (width 0.25) (layer F.Cu) (net 4) (status 10)) (segment (start 189.2875 123.7875) (end 189.25 123.75) (width 0.25) (layer F.Cu) (net 4)) (segment (start 189.25 123.75) (end 188.25 122.75) (width 0.25) (layer B.Cu) (net 4)) (segment (start 178.85 122.75) (end 177.1 121) (width 0.25) (layer B.Cu) (net 4)) (segment (start 188.25 122.75) (end 178.85 122.75) (width 0.25) (layer B.Cu) (net 4)) - (segment (start 214.65 119.5625) (end 215.2125 119) (width 0.25) (layer F.Cu) (net 5)) - (segment (start 214.65 120.8) (end 214.65 119.5625) (width 0.25) (layer F.Cu) (net 5)) - (segment (start 213.7875 119) (end 213.75 119.0375) (width 0.25) (layer F.Cu) (net 5)) - (segment (start 215.2125 119) (end 213.7875 119) (width 0.25) (layer F.Cu) (net 5)) - (segment (start 217.15 120.5) (end 216.85 120.8) (width 0.25) (layer F.Cu) (net 6)) - (segment (start 218.825 120.5) (end 217.15 120.5) (width 0.25) (layer F.Cu) (net 6)) - (segment (start 216.85 119.0625) (end 216.7875 119) (width 0.25) (layer F.Cu) (net 6)) - (segment (start 216.85 120.8) (end 216.85 119.0625) (width 0.25) (layer F.Cu) (net 6)) - (segment (start 216.7875 117.25) (end 216.7875 119) (width 0.25) (layer F.Cu) (net 6)) - (segment (start 215.2125 115.75) (end 215.2125 117.25) (width 0.25) (layer F.Cu) (net 7)) - (segment (start 215.2125 117.25) (end 214.075 117.25) (width 0.25) (layer F.Cu) (net 7)) - (segment (start 213.7125 117.425) (end 213.75 117.4625) (width 0.25) (layer F.Cu) (net 7)) - (segment (start 212 117.425) (end 213.7125 117.425) (width 0.25) (layer F.Cu) (net 7)) - (segment (start 213.875 123.475) (end 214.65 122.7) (width 0.25) (layer F.Cu) (net 8)) - (segment (start 213 123.475) (end 213.875 123.475) (width 0.25) (layer F.Cu) (net 8)) - (segment (start 212 122.95) (end 212 119.4375) (width 0.25) (layer F.Cu) (net 8)) - (segment (start 213 123.475) (end 212.525 123.475) (width 0.25) (layer F.Cu) (net 8)) - (segment (start 212.525 123.475) (end 212 122.95) (width 0.25) (layer F.Cu) (net 8)) - (segment (start 212 119.4375) (end 212 119) (width 0.25) (layer F.Cu) (net 8)) - (segment (start 218.5125 121.75) (end 218.8125 122.05) (width 0.25) (layer F.Cu) (net 9)) - (segment (start 216.85 121.75) (end 218.5125 121.75) (width 0.25) (layer F.Cu) (net 9)) - (via (at 185.75 112.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 7)) - (segment (start 186.675 113.25) (end 186.675 113.175) (width 0.25) (layer F.Cu) (net 7)) - (segment (start 186.675 113.175) (end 185.75 112.25) (width 0.25) (layer F.Cu) (net 7)) - (via (at 215.780002 121.75) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) - (segment (start 216.85 121.75) (end 215.780002 121.75) (width 0.25) (layer F.Cu) (net 9)) - (via (at 218.25 123.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) - (segment (start 218.8125 122.05) (end 218.8125 122.6875) (width 0.25) (layer F.Cu) (net 9)) - (segment (start 218.8125 122.6875) (end 218.25 123.25) (width 0.25) (layer F.Cu) (net 9)) - (via (at 171.75 89.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 7)) - (segment (start 169.5 89.690001) (end 171.559999 89.690001) (width 0.25) (layer F.Cu) (net 7)) - (segment (start 171.559999 89.690001) (end 171.75 89.5) (width 0.25) (layer F.Cu) (net 7)) - (segment (start 214.36 103.325) (end 214.544999 103.140001) (width 0.25) (layer F.Cu) (net 7)) - (segment (start 212.25 103.325) (end 214.36 103.325) (width 0.25) (layer F.Cu) (net 7)) - (segment (start 192.502501 103.3375) (end 192.7 103.140001) (width 0.25) (layer F.Cu) (net 7)) - (segment (start 190.475 103.3375) (end 192.502501 103.3375) (width 0.25) (layer F.Cu) (net 7)) - (via (at 202.2 122.2) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) - (segment (start 200.5 122.25) (end 202.15 122.25) (width 0.25) (layer F.Cu) (net 9)) - (segment (start 202.15 122.25) (end 202.2 122.2) (width 0.25) (layer F.Cu) (net 9)) - (segment (start 200.0375 122.7125) (end 200.5 122.25) (width 0.25) (layer F.Cu) (net 9)) - (segment (start 200.0375 124.75) (end 200.0375 122.7125) (width 0.25) (layer F.Cu) (net 9)) - (segment (start 169.202501 89.9875) (end 169.5 89.690001) (width 0.25) (layer F.Cu) (net 7)) - (segment (start 167.2 89.9875) (end 169.202501 89.9875) (width 0.25) (layer F.Cu) (net 7)) - (segment (start 217.5 105.934315) (end 217.5 106.5) (width 0.25) (layer F.Cu) (net 7)) - (segment (start 215.794999 103.140001) (end 217.5 104.845002) (width 0.25) (layer F.Cu) (net 7)) - (segment (start 217.5 104.845002) (end 217.5 105.934315) (width 0.25) (layer F.Cu) (net 7)) - (segment (start 214.544999 103.140001) (end 215.794999 103.140001) (width 0.25) (layer F.Cu) (net 7)) - (via (at 217.5 106.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 7)) - (segment (start 193.95 103.140001) (end 195 104.190001) (width 0.25) (layer F.Cu) (net 7)) - (segment (start 195 104.190001) (end 195 105.434315) (width 0.25) (layer F.Cu) (net 7)) - (segment (start 192.7 103.140001) (end 193.95 103.140001) (width 0.25) (layer F.Cu) (net 7)) - (via (at 195 106) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 7)) - (segment (start 195 105.434315) (end 195 106) (width 0.25) (layer F.Cu) (net 7)) - (via (at 214 115) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 7)) - (segment (start 215.2125 115.75) (end 214.75 115.75) (width 0.25) (layer F.Cu) (net 7)) - (segment (start 214.75 115.75) (end 214 115) (width 0.25) (layer F.Cu) (net 7)) - (segment (start 215.330002 122.2) (end 215.780002 121.75) (width 0.25) (layer B.Cu) (net 9)) - (segment (start 202.2 122.2) (end 215.330002 122.2) (width 0.25) (layer B.Cu) (net 9)) - (segment (start 216.75 121.75) (end 218.25 123.25) (width 0.25) (layer B.Cu) (net 9)) - (segment (start 215.780002 121.75) (end 216.75 121.75) (width 0.25) (layer B.Cu) (net 9)) - (segment (start 198.5125 121.2875) (end 198.5 121.3) (width 0.25) (layer F.Cu) (net 10)) - (segment (start 198.5125 119.7) (end 198.5125 121.2875) (width 0.25) (layer F.Cu) (net 10)) - (segment (start 198.45 121.25) (end 198.5 121.3) (width 0.25) (layer F.Cu) (net 10)) - (segment (start 196.1 121.25) (end 198.45 121.25) (width 0.25) (layer F.Cu) (net 10)) - (segment (start 199.6375 118.1) (end 198.5125 119.225) (width 0.25) (layer F.Cu) (net 10)) - (segment (start 198.5125 119.225) (end 198.5125 119.7) (width 0.25) (layer F.Cu) (net 10)) - (segment (start 201.5125 118.1) (end 199.6375 118.1) (width 0.25) (layer F.Cu) (net 10)) - (segment (start 201.5 118.0875) (end 201.5125 118.1) (width 0.25) (layer F.Cu) (net 10)) - (segment (start 201.5 112.7) (end 201.5 118.0875) (width 0.25) (layer F.Cu) (net 10)) - (segment (start 178.0625 116.75) (end 178.0625 118.249665) (width 0.25) (layer F.Cu) (net 11)) - (segment (start 177.525 119.5) (end 177.379793 119.645207) (width 0.25) (layer F.Cu) (net 11)) - (segment (start 176.812165 119.5) (end 176.475 119.5) (width 0.25) (layer F.Cu) (net 11)) - (segment (start 176.620207 119.645207) (end 176.475 119.5) (width 0.25) (layer F.Cu) (net 11)) - (segment (start 178.0625 118.249665) (end 176.812165 119.5) (width 0.25) (layer F.Cu) (net 11)) - (segment (start 177.9625 119.5) (end 177.525 119.5) (width 0.25) (layer F.Cu) (net 11)) - (segment (start 176.475 119.5) (end 176.0375 119.5) (width 0.25) (layer F.Cu) (net 11)) - (segment (start 177.379793 119.645207) (end 176.620207 119.645207) (width 0.25) (layer F.Cu) (net 11)) - (via (at 179.508234 120.675759) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 12)) - (segment (start 180.150011 122.020303) (end 180.150011 121.317536) (width 0.25) (layer F.Cu) (net 12)) - (segment (start 180 122.170314) (end 180.150011 122.020303) (width 0.25) (layer F.Cu) (net 12)) - (segment (start 180 123.34) (end 180 122.170314) (width 0.25) (layer F.Cu) (net 12)) - (segment (start 179.908233 121.075758) (end 179.508234 120.675759) (width 0.25) (layer F.Cu) (net 12)) - (segment (start 180.150011 121.317536) (end 179.908233 121.075758) (width 0.25) (layer F.Cu) (net 12)) - (segment (start 178.0625 115.75) (end 176.371987 115.75) (width 0.25) (layer F.Cu) (net 12)) - (via (at 176.169995 115.951992) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 12)) - (segment (start 176.371987 115.75) (end 176.169995 115.951992) (width 0.25) (layer F.Cu) (net 12)) - (segment (start 179.508234 120.675759) (end 180.902996 119.280997) (width 0.25) (layer B.Cu) (net 12)) - (segment (start 180.902996 118.599518) (end 178.25547 115.951992) (width 0.25) (layer B.Cu) (net 12)) - (segment (start 176.73568 115.951992) (end 176.169995 115.951992) (width 0.25) (layer B.Cu) (net 12)) - (segment (start 180.902996 119.280997) (end 180.902996 118.599518) (width 0.25) (layer B.Cu) (net 12)) - (segment (start 178.25547 115.951992) (end 176.73568 115.951992) (width 0.25) (layer B.Cu) (net 12)) - (via (at 179.42501 121.672302) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 13)) - (segment (start 179.35 123.34) (end 179.35 121.747312) (width 0.25) (layer F.Cu) (net 13)) - (segment (start 179.35 121.747312) (end 179.42501 121.672302) (width 0.25) (layer F.Cu) (net 13)) - (segment (start 176.914153 119.161445) (end 176.914153 118.32501) (width 0.25) (layer B.Cu) (net 13)) - (segment (start 178.0625 116.25) (end 177.584315 116.25) (width 0.25) (layer F.Cu) (net 13)) - (segment (start 179.42501 121.672302) (end 176.914153 119.161445) (width 0.25) (layer B.Cu) (net 13)) - (via (at 176.914153 118.32501) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 13)) - (segment (start 176.914153 117.759325) (end 176.914153 118.32501) (width 0.25) (layer F.Cu) (net 13)) - (segment (start 177.584315 116.25) (end 176.914153 116.920162) (width 0.25) (layer F.Cu) (net 13)) - (segment (start 176.914153 116.920162) (end 176.914153 117.759325) (width 0.25) (layer F.Cu) (net 13)) - (segment (start 170.95 121.05) (end 170.9 121) (width 0.25) (layer F.Cu) (net 14)) - (segment (start 170.95 123.1) (end 170.95 121.05) (width 0.25) (layer F.Cu) (net 14)) - (segment (start 170.9 119.5125) (end 170.9125 119.5) (width 0.25) (layer F.Cu) (net 14)) - (segment (start 170.9 121) (end 170.9 119.5125) (width 0.25) (layer F.Cu) (net 14)) - (segment (start 170.9125 119.5) (end 170.9125 119.1875) (width 0.25) (layer F.Cu) (net 14)) - (via (at 171.5 118.6) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 14)) - (segment (start 170.9125 119.1875) (end 171.5 118.6) (width 0.25) (layer F.Cu) (net 14)) - (segment (start 179.25 117.9375) (end 179.25 118.57499) (width 0.25) (layer F.Cu) (net 14)) - (segment (start 178.27501 117.6) (end 179.075011 118.400001) (width 0.25) (layer B.Cu) (net 14)) - (segment (start 179.25 118.57499) (end 179.27501 118.6) (width 0.25) (layer F.Cu) (net 14)) - (segment (start 172.5 117.6) (end 178.27501 117.6) (width 0.25) (layer B.Cu) (net 14)) - (segment (start 179.075011 118.400001) (end 179.27501 118.6) (width 0.25) (layer B.Cu) (net 14)) - (segment (start 171.5 118.6) (end 172.5 117.6) (width 0.25) (layer B.Cu) (net 14)) - (via (at 179.27501 118.6) (size 0.4) (drill 0.3) (layers F.Cu B.Cu) (net 14)) - (segment (start 192 113.5) (end 194.5 113.5) (width 0.25) (layer F.Cu) (net 15)) - (via (at 191 113.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 15)) - (segment (start 192 113.5) (end 191 113.5) (width 0.25) (layer F.Cu) (net 15)) - (via (at 182 113.75) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 15)) - (segment (start 181.5625 113.75) (end 181.25 114.0625) (width 0.25) (layer F.Cu) (net 15)) - (segment (start 182 113.75) (end 181.5625 113.75) (width 0.25) (layer F.Cu) (net 15)) - (segment (start 191 113.5) (end 190.5 114) (width 0.25) (layer B.Cu) (net 15)) - (segment (start 182.25 114) (end 182 113.75) (width 0.25) (layer B.Cu) (net 15)) - (segment (start 190.5 114) (end 182.25 114) (width 0.25) (layer B.Cu) (net 15)) - (via (at 171.75 91.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 16)) - (segment (start 169.789999 91.25) (end 169.5 90.960001) (width 0.25) (layer F.Cu) (net 16)) - (segment (start 171.75 91.25) (end 169.789999 91.25) (width 0.25) (layer F.Cu) (net 16)) - (segment (start 190 114.45) (end 189.3 114.45) (width 0.25) (layer F.Cu) (net 16)) - (segment (start 188.5 113.65) (end 188.5 112.565685) (width 0.25) (layer F.Cu) (net 16)) - (segment (start 189.3 114.45) (end 188.5 113.65) (width 0.25) (layer F.Cu) (net 16)) - (via (at 188.5 112) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 16)) - (segment (start 188.5 112.565685) (end 188.5 112) (width 0.25) (layer F.Cu) (net 16)) - (segment (start 172.348002 101.725002) (end 173.198013 102.575013) (width 0.25) (layer B.Cu) (net 16)) - (segment (start 170.774998 101.348002) (end 171.151998 101.725002) (width 0.25) (layer B.Cu) (net 16)) - (segment (start 176.734402 102.575013) (end 177.209393 102.100022) (width 0.25) (layer B.Cu) (net 16)) - (segment (start 188.5 111.434315) (end 188.5 112) (width 0.25) (layer B.Cu) (net 16)) - (segment (start 173.198013 102.575013) (end 176.734402 102.575013) (width 0.25) (layer B.Cu) (net 16)) - (segment (start 171.75 91.25) (end 170.774998 92.225002) (width 0.25) (layer B.Cu) (net 16)) - (segment (start 188.5 107.176996) (end 188.5 111.434315) (width 0.25) (layer B.Cu) (net 16)) - (segment (start 171.151998 101.725002) (end 172.348002 101.725002) (width 0.25) (layer B.Cu) (net 16)) - (segment (start 177.209393 102.100022) (end 183.423026 102.100022) (width 0.25) (layer B.Cu) (net 16)) - (segment (start 170.774998 92.225002) (end 170.774998 101.348002) (width 0.25) (layer B.Cu) (net 16)) - (segment (start 183.423026 102.100022) (end 188.5 107.176996) (width 0.25) (layer B.Cu) (net 16)) - (segment (start 190.7 112.55) (end 190 112.55) (width 0.25) (layer F.Cu) (net 17)) - (segment (start 196.368994 112.55) (end 190.7 112.55) (width 0.25) (layer F.Cu) (net 17)) - (segment (start 196.83751 113.018516) (end 196.368994 112.55) (width 0.25) (layer F.Cu) (net 17)) - (segment (start 196.5125 117.5) (end 196.83751 117.17499) (width 0.25) (layer F.Cu) (net 17)) - (segment (start 196.83751 117.17499) (end 196.83751 113.018516) (width 0.25) (layer F.Cu) (net 17)) - (segment (start 196.075 117.5) (end 196.5125 117.5) (width 0.25) (layer F.Cu) (net 17)) - (segment (start 187.48749 112.26251) (end 187.5 112.25) (width 0.25) (layer F.Cu) (net 18)) - (via (at 187.5 112.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 18)) - (segment (start 190 118.45) (end 189.3 118.45) (width 0.25) (layer F.Cu) (net 18)) - (segment (start 187.48749 116.63749) (end 187.48749 112.26251) (width 0.25) (layer F.Cu) (net 18)) - (segment (start 189.3 118.45) (end 187.48749 116.63749) (width 0.25) (layer F.Cu) (net 18)) - (segment (start 185 109.75) (end 185 104.75) (width 0.25) (layer B.Cu) (net 18)) - (segment (start 187.5 112.25) (end 185 109.75) (width 0.25) (layer B.Cu) (net 18)) - (via (at 185 104.75) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 18)) - (segment (start 186.319999 104.75) (end 186.5 104.930001) (width 0.25) (layer F.Cu) (net 18)) - (segment (start 185 104.75) (end 186.319999 104.75) (width 0.25) (layer F.Cu) (net 18)) - (segment (start 192 117.5) (end 194.5 117.5) (width 0.25) (layer F.Cu) (net 19)) - (via (at 191 117.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 19)) - (segment (start 192 117.5) (end 191 117.5) (width 0.25) (layer F.Cu) (net 19)) - (via (at 179.25 112.75) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 19)) - (segment (start 179.25 112.75) (end 179.25 114.0625) (width 0.25) (layer F.Cu) (net 19)) - (segment (start 182.5 116) (end 179.25 112.75) (width 0.25) (layer B.Cu) (net 19)) - (segment (start 191 117.5) (end 189.5 116) (width 0.25) (layer B.Cu) (net 19)) - (segment (start 189.5 116) (end 182.5 116) (width 0.25) (layer B.Cu) (net 19)) - (segment (start 193.5 116.55) (end 190.7 116.55) (width 0.25) (layer F.Cu) (net 20)) - (segment (start 196.075 113.975) (end 193.5 116.55) (width 0.25) (layer F.Cu) (net 20)) - (segment (start 190.7 116.55) (end 190 116.55) (width 0.25) (layer F.Cu) (net 20)) - (segment (start 196.075 113.5) (end 196.075 113.975) (width 0.25) (layer F.Cu) (net 20)) - (segment (start 203.0875 119.7) (end 203.0875 118.1) (width 0.25) (layer F.Cu) (net 21)) - (segment (start 203.0875 118.1) (end 203.0875 116.6625) (width 0.25) (layer F.Cu) (net 21)) - (via (at 203.25 116.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 21)) - (segment (start 203.0875 116.6625) (end 203.25 116.5) (width 0.25) (layer F.Cu) (net 21)) - (segment (start 171.959999 96.040001) (end 172 96) (width 0.25) (layer F.Cu) (net 21)) - (via (at 172 96) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 21)) - (segment (start 169.5 96.040001) (end 171.959999 96.040001) (width 0.25) (layer F.Cu) (net 21)) - (segment (start 171.600001 100.100001) (end 171.600001 96.399999) (width 0.25) (layer B.Cu) (net 21)) - (segment (start 171.600001 96.399999) (end 172 96) (width 0.25) (layer B.Cu) (net 21)) - (segment (start 203.25 116.5) (end 198.5 116.5) (width 0.25) (layer B.Cu) (net 21)) - (segment (start 177.022993 101.650011) (end 176.548002 102.125002) (width 0.25) (layer B.Cu) (net 21)) - (segment (start 183.650011 101.650011) (end 177.022993 101.650011) (width 0.25) (layer B.Cu) (net 21)) - (segment (start 198.5 116.5) (end 183.650011 101.650011) (width 0.25) (layer B.Cu) (net 21)) - (segment (start 173.625002 102.125002) (end 171.600001 100.100001) (width 0.25) (layer B.Cu) (net 21)) - (segment (start 176.548002 102.125002) (end 173.625002 102.125002) (width 0.25) (layer B.Cu) (net 21)) - (segment (start 179.800012 119.237488) (end 179.800012 118.400012) (width 0.25) (layer F.Cu) (net 22)) - (segment (start 179.75 118.35) (end 179.75 117.9375) (width 0.25) (layer F.Cu) (net 22)) - (segment (start 179.800012 118.400012) (end 179.75 118.35) (width 0.25) (layer F.Cu) (net 22)) - (segment (start 179.5375 119.5) (end 179.800012 119.237488) (width 0.25) (layer F.Cu) (net 22)) - (via (at 189.5 89.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 23)) - (segment (start 186.5 89.690001) (end 189.309999 89.690001) (width 0.25) (layer F.Cu) (net 23)) - (segment (start 189.309999 89.690001) (end 189.5 89.5) (width 0.25) (layer F.Cu) (net 23)) - (via (at 197 89.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 23)) - (segment (start 189.5 89.5) (end 197 89.5) (width 0.25) (layer B.Cu) (net 23)) - (via (at 216 91.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 23)) - (segment (start 214.904998 91.5) (end 214.544999 91.140001) (width 0.25) (layer F.Cu) (net 23)) - (segment (start 216 91.5) (end 214.904998 91.5) (width 0.25) (layer F.Cu) (net 23)) - (segment (start 206.065685 94.97501) (end 205.5 94.97501) (width 0.25) (layer B.Cu) (net 23)) - (segment (start 205.100001 94.575011) (end 205.5 94.97501) (width 0.25) (layer F.Cu) (net 23)) - (segment (start 213.090675 94.97501) (end 206.065685 94.97501) (width 0.25) (layer B.Cu) (net 23)) - (segment (start 200.02499 89.5) (end 205.100001 94.575011) (width 0.25) (layer F.Cu) (net 23)) - (segment (start 197 89.5) (end 200.02499 89.5) (width 0.25) (layer F.Cu) (net 23)) - (segment (start 216 91.5) (end 216 92.065685) (width 0.25) (layer B.Cu) (net 23)) - (segment (start 216 92.065685) (end 213.090675 94.97501) (width 0.25) (layer B.Cu) (net 23)) - (via (at 205.5 94.97501) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 23)) - (via (at 229 105) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 24)) - (segment (start 231.004998 105) (end 231.144999 105.140001) (width 0.25) (layer F.Cu) (net 24)) - (segment (start 229 105) (end 231.004998 105) (width 0.25) (layer F.Cu) (net 24)) - (segment (start 209.3 105.140001) (end 207.640001 105.140001) (width 0.25) (layer F.Cu) (net 24)) - (segment (start 207.640001 105.140001) (end 207.5 105) (width 0.25) (layer F.Cu) (net 24)) - (via (at 207.5 105) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 24)) - (segment (start 229 105) (end 208.065685 105) (width 0.25) (layer B.Cu) (net 24)) - (segment (start 208.065685 105) (end 207.5 105) (width 0.25) (layer B.Cu) (net 24)) - (segment (start 186.5 90.960001) (end 187.75 90.960001) (width 0.25) (layer F.Cu) (net 24)) - (via (at 189.748857 99.843307) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 24)) - (segment (start 190.148856 100.243306) (end 189.748857 99.843307) (width 0.25) (layer B.Cu) (net 24)) - (segment (start 207.5 105) (end 194.90555 105) (width 0.25) (layer B.Cu) (net 24)) - (segment (start 187.75 90.960001) (end 190.148856 93.358857) (width 0.25) (layer F.Cu) (net 24)) - (segment (start 194.90555 105) (end 190.148856 100.243306) (width 0.25) (layer B.Cu) (net 24)) - (segment (start 190.148856 99.443308) (end 189.748857 99.843307) (width 0.25) (layer F.Cu) (net 24)) - (segment (start 190.148856 93.358857) (end 190.148856 99.443308) (width 0.25) (layer F.Cu) (net 24)) - (via (at 182.5 109.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 25)) - (segment (start 180.75 114.0625) (end 180.75 111.25) (width 0.25) (layer F.Cu) (net 25)) - (segment (start 180.75 111.25) (end 182.5 109.5) (width 0.25) (layer F.Cu) (net 25)) - (segment (start 182.5 104.579999) (end 182.75 104.329999) (width 0.25) (layer B.Cu) (net 25)) - (via (at 182.75 104.329999) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 25)) - (segment (start 182.5 109.5) (end 182.5 104.579999) (width 0.25) (layer B.Cu) (net 25)) - (segment (start 185.25 92.230001) (end 186.5 92.230001) (width 0.25) (layer F.Cu) (net 25)) - (segment (start 182.75 94.715575) (end 185.235574 92.230001) (width 0.25) (layer F.Cu) (net 25)) - (segment (start 185.235574 92.230001) (end 185.25 92.230001) (width 0.25) (layer F.Cu) (net 25)) - (segment (start 182.75 104.329999) (end 182.75 94.715575) (width 0.25) (layer F.Cu) (net 25)) - (via (at 181.75 108.75) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 26)) - (segment (start 180.25 114.0625) (end 180.25 110.25) (width 0.25) (layer F.Cu) (net 26)) - (segment (start 180.25 110.25) (end 181.75 108.75) (width 0.25) (layer F.Cu) (net 26)) - (via (at 183.5 103.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 26)) - (segment (start 182.756995 103.25) (end 182.934315 103.25) (width 0.25) (layer B.Cu) (net 26)) - (segment (start 182.934315 103.25) (end 183.5 103.25) (width 0.25) (layer B.Cu) (net 26)) - (segment (start 181.75 104.256995) (end 182.756995 103.25) (width 0.25) (layer B.Cu) (net 26)) - (segment (start 181.75 108.75) (end 181.75 104.256995) (width 0.25) (layer B.Cu) (net 26)) - (segment (start 184.601985 93.500001) (end 183.5 94.601986) (width 0.25) (layer F.Cu) (net 26)) - (segment (start 186.5 93.500001) (end 184.601985 93.500001) (width 0.25) (layer F.Cu) (net 26)) - (segment (start 183.5 102.684315) (end 183.5 103.25) (width 0.25) (layer F.Cu) (net 26)) - (segment (start 183.5 94.601986) (end 183.5 102.684315) (width 0.25) (layer F.Cu) (net 26)) - (segment (start 228.640001 103.140001) (end 228.5 103) (width 0.25) (layer F.Cu) (net 27)) - (segment (start 231.144999 103.140001) (end 228.640001 103.140001) (width 0.25) (layer F.Cu) (net 27)) - (via (at 228.5 103) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 27)) - (segment (start 207.140001 103.140001) (end 207 103) (width 0.25) (layer F.Cu) (net 27)) - (via (at 207 103) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 27)) - (segment (start 228.5 103) (end 207 103) (width 0.25) (layer B.Cu) (net 27)) - (segment (start 209.3 103.140001) (end 207.140001 103.140001) (width 0.25) (layer F.Cu) (net 27)) - (segment (start 194.740034 99.033576) (end 189.727721 99.033576) (width 0.25) (layer B.Cu) (net 27)) - (segment (start 207 103) (end 198.706458 103) (width 0.25) (layer B.Cu) (net 27)) - (via (at 189.162036 99.033576) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 27)) - (segment (start 188.343005 94.770001) (end 189.162036 95.589032) (width 0.25) (layer F.Cu) (net 27)) - (segment (start 189.162036 98.467891) (end 189.162036 99.033576) (width 0.25) (layer F.Cu) (net 27)) - (segment (start 189.162036 95.589032) (end 189.162036 98.467891) (width 0.25) (layer F.Cu) (net 27)) - (segment (start 198.706458 103) (end 194.740034 99.033576) (width 0.25) (layer B.Cu) (net 27)) - (segment (start 186.5 94.770001) (end 188.343005 94.770001) (width 0.25) (layer F.Cu) (net 27)) - (segment (start 189.727721 99.033576) (end 189.162036 99.033576) (width 0.25) (layer B.Cu) (net 27)) - (via (at 207.5 101) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 28)) - (segment (start 209.159999 101) (end 209.3 101.140001) (width 0.25) (layer F.Cu) (net 28)) - (segment (start 207.5 101) (end 209.159999 101) (width 0.25) (layer F.Cu) (net 28)) - (via (at 228.5 101) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 28)) - (segment (start 207.5 101) (end 228.5 101) (width 0.25) (layer B.Cu) (net 28)) - (segment (start 231.004998 101) (end 231.144999 101.140001) (width 0.25) (layer F.Cu) (net 28)) - (segment (start 228.5 101) (end 231.004998 101) (width 0.25) (layer F.Cu) (net 28)) - (segment (start 187.9688 97.310001) (end 188.383809 97.72501) (width 0.25) (layer F.Cu) (net 28)) - (segment (start 186.5 97.310001) (end 187.9688 97.310001) (width 0.25) (layer F.Cu) (net 28)) - (segment (start 188.949494 97.72501) (end 188.383809 97.72501) (width 0.25) (layer B.Cu) (net 28)) - (segment (start 199.36359 101) (end 195.813601 97.450011) (width 0.25) (layer B.Cu) (net 28)) - (segment (start 189.224493 97.450011) (end 188.949494 97.72501) (width 0.25) (layer B.Cu) (net 28)) - (segment (start 207.5 101) (end 199.36359 101) (width 0.25) (layer B.Cu) (net 28)) - (via (at 188.383809 97.72501) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 28)) - (segment (start 195.813601 97.450011) (end 189.224493 97.450011) (width 0.25) (layer B.Cu) (net 28)) - (segment (start 191.45 93.140001) (end 190.8 93.790001) (width 0.25) (layer F.Cu) (net 29)) - (segment (start 192.7 93.140001) (end 191.45 93.140001) (width 0.25) (layer F.Cu) (net 29)) - (segment (start 190.8 93.790001) (end 190.8 100.3) (width 0.25) (layer F.Cu) (net 29)) - (segment (start 190.8 100.3) (end 190.1 101) (width 0.25) (layer F.Cu) (net 29)) - (segment (start 190.1 101) (end 189 101) (width 0.25) (layer F.Cu) (net 29)) - (segment (start 187.850001 99.850001) (end 186.5 99.850001) (width 0.25) (layer F.Cu) (net 29)) - (segment (start 189 101) (end 187.850001 99.850001) (width 0.25) (layer F.Cu) (net 29)) - (via (at 184.899998 94.27499) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 30)) - (segment (start 184.899998 103.309999) (end 184.899998 94.840675) (width 0.25) (layer F.Cu) (net 30)) - (segment (start 186.5 103.660001) (end 185.25 103.660001) (width 0.25) (layer F.Cu) (net 30)) - (segment (start 185.25 103.660001) (end 184.899998 103.309999) (width 0.25) (layer F.Cu) (net 30)) - (segment (start 184.899998 94.840675) (end 184.899998 94.27499) (width 0.25) (layer F.Cu) (net 30)) - (segment (start 195.5 91) (end 192.840001 91) (width 0.25) (layer F.Cu) (net 30)) - (segment (start 192.840001 91) (end 192.7 91.140001) (width 0.25) (layer F.Cu) (net 30)) - (via (at 195.5 91) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 30)) - (segment (start 188.174988 91) (end 184.899998 94.27499) (width 0.25) (layer B.Cu) (net 30)) - (segment (start 195.5 91) (end 188.174988 91) (width 0.25) (layer B.Cu) (net 30)) - (segment (start 182.445 107.180001) (end 184.264999 109) (width 0.25) (layer F.Cu) (net 31)) - (segment (start 182.445 105.930001) (end 182.445 107.180001) (width 0.25) (layer F.Cu) (net 31)) - (segment (start 184.264999 109) (end 198.164366 109) (width 0.25) (layer F.Cu) (net 31)) - (segment (start 198.164366 109) (end 208.024365 99.140001) (width 0.25) (layer F.Cu) (net 31)) - (segment (start 208.05 99.140001) (end 209.3 99.140001) (width 0.25) (layer F.Cu) (net 31)) - (segment (start 208.024365 99.140001) (end 208.05 99.140001) (width 0.25) (layer F.Cu) (net 31)) - (via (at 182.017889 96.27499) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 32)) - (segment (start 192.7 95.140001) (end 195.680297 95.140001) (width 0.25) (layer F.Cu) (net 32)) - (segment (start 182.017889 96.27499) (end 185.5886 96.27499) (width 0.25) (layer B.Cu) (net 32)) - (segment (start 195.279603 94.97501) (end 195.845288 94.97501) (width 0.25) (layer B.Cu) (net 32)) - (segment (start 186.88858 94.97501) (end 195.279603 94.97501) (width 0.25) (layer B.Cu) (net 32)) - (segment (start 195.680297 95.140001) (end 195.845288 94.97501) (width 0.25) (layer F.Cu) (net 32)) - (via (at 195.845288 94.97501) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 32)) - (segment (start 185.5886 96.27499) (end 186.88858 94.97501) (width 0.25) (layer B.Cu) (net 32)) - (segment (start 181.61789 97.055112) (end 181.61789 96.674989) (width 0.25) (layer F.Cu) (net 32)) - (segment (start 173.555 105.118002) (end 181.61789 97.055112) (width 0.25) (layer F.Cu) (net 32)) - (segment (start 181.61789 96.674989) (end 182.017889 96.27499) (width 0.25) (layer F.Cu) (net 32)) - (segment (start 173.555 105.930001) (end 173.555 105.118002) (width 0.25) (layer F.Cu) (net 32)) - (via (at 194.954481 98.175021) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 33)) - (segment (start 193.95 97.140001) (end 194.954481 98.144482) (width 0.25) (layer F.Cu) (net 33)) - (segment (start 194.954481 98.144482) (end 194.954481 98.175021) (width 0.25) (layer F.Cu) (net 33)) - (segment (start 192.7 97.140001) (end 193.95 97.140001) (width 0.25) (layer F.Cu) (net 33)) - (segment (start 169.5 103.660001) (end 172.713833 103.660001) (width 0.25) (layer F.Cu) (net 33)) - (segment (start 172.713833 103.660001) (end 175.973494 100.40034) (width 0.25) (layer F.Cu) (net 33)) - (via (at 175.973494 100.40034) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 33)) - (segment (start 189.006802 98.175021) (end 188.731811 98.450012) (width 0.25) (layer B.Cu) (net 33)) - (segment (start 188.731811 98.450012) (end 188.094544 98.450012) (width 0.25) (layer B.Cu) (net 33)) - (segment (start 194.954481 98.175021) (end 189.006802 98.175021) (width 0.25) (layer B.Cu) (net 33)) - (segment (start 186.144216 100.40034) (end 176.539179 100.40034) (width 0.25) (layer B.Cu) (net 33)) - (segment (start 176.539179 100.40034) (end 175.973494 100.40034) (width 0.25) (layer B.Cu) (net 33)) - (segment (start 188.094544 98.450012) (end 186.144216 100.40034) (width 0.25) (layer B.Cu) (net 33)) - (via (at 217.207115 95.792885) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 34)) - (segment (start 216.554231 95.140001) (end 217.207115 95.792885) (width 0.25) (layer F.Cu) (net 34)) - (segment (start 214.544999 95.140001) (end 216.554231 95.140001) (width 0.25) (layer F.Cu) (net 34)) - (segment (start 169.5 102.390001) (end 171.945373 102.390001) (width 0.25) (layer F.Cu) (net 34)) - (via (at 175.315373 99.020001) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 34)) - (segment (start 171.945373 102.390001) (end 174.915374 99.42) (width 0.25) (layer F.Cu) (net 34)) - (segment (start 174.915374 99.42) (end 175.315373 99.020001) (width 0.25) (layer F.Cu) (net 34)) - (segment (start 186.913579 96.450011) (end 184.343589 99.020001) (width 0.25) (layer B.Cu) (net 34)) - (segment (start 217.607114 96.192884) (end 217.607114 96.96589) (width 0.25) (layer B.Cu) (net 34)) - (segment (start 217.207115 95.792885) (end 217.607114 96.192884) (width 0.25) (layer B.Cu) (net 34)) - (segment (start 205.225002 97.725002) (end 203.950011 96.450011) (width 0.25) (layer B.Cu) (net 34)) - (segment (start 216.848002 97.725002) (end 205.225002 97.725002) (width 0.25) (layer B.Cu) (net 34)) - (segment (start 203.950011 96.450011) (end 186.913579 96.450011) (width 0.25) (layer B.Cu) (net 34)) - (segment (start 217.607114 96.96589) (end 216.848002 97.725002) (width 0.25) (layer B.Cu) (net 34)) - (segment (start 184.343589 99.020001) (end 175.881058 99.020001) (width 0.25) (layer B.Cu) (net 34)) - (segment (start 175.881058 99.020001) (end 175.315373 99.020001) (width 0.25) (layer B.Cu) (net 34)) - (via (at 173.89998 96) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 35)) - (segment (start 173.89998 98.173024) (end 173.89998 96.565685) (width 0.25) (layer F.Cu) (net 35)) - (segment (start 173.89998 96.565685) (end 173.89998 96) (width 0.25) (layer F.Cu) (net 35)) - (segment (start 172.223003 99.850001) (end 173.89998 98.173024) (width 0.25) (layer F.Cu) (net 35)) - (segment (start 169.5 99.850001) (end 172.223003 99.850001) (width 0.25) (layer F.Cu) (net 35)) - (segment (start 212.500004 93.934996) (end 212.500004 94.25) (width 0.25) (layer F.Cu) (net 35)) - (segment (start 213.294999 93.140001) (end 212.500004 93.934996) (width 0.25) (layer F.Cu) (net 35)) - (segment (start 214.544999 93.140001) (end 213.294999 93.140001) (width 0.25) (layer F.Cu) (net 35)) - (via (at 212.500004 94.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 35)) - (segment (start 186.227179 95) (end 186.977179 94.25) (width 0.25) (layer B.Cu) (net 35)) - (segment (start 211.934319 94.25) (end 212.500004 94.25) (width 0.25) (layer B.Cu) (net 35)) - (segment (start 174.89998 95) (end 186.227179 95) (width 0.25) (layer B.Cu) (net 35)) - (segment (start 211.659318 94.525001) (end 211.934319 94.25) (width 0.25) (layer B.Cu) (net 35)) - (segment (start 206.876998 94.25) (end 207.151999 94.525001) (width 0.25) (layer B.Cu) (net 35)) - (segment (start 173.89998 96) (end 174.89998 95) (width 0.25) (layer B.Cu) (net 35)) - (segment (start 186.977179 94.25) (end 206.876998 94.25) (width 0.25) (layer B.Cu) (net 35)) - (segment (start 207.151999 94.525001) (end 211.659318 94.525001) (width 0.25) (layer B.Cu) (net 35)) - (via (at 172.5 98.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 36)) - (segment (start 172.419999 98.580001) (end 172.5 98.5) (width 0.25) (layer F.Cu) (net 36)) - (segment (start 169.5 98.580001) (end 172.419999 98.580001) (width 0.25) (layer F.Cu) (net 36)) - (segment (start 231.144999 99.140001) (end 217.859999 99.140001) (width 0.25) (layer F.Cu) (net 36)) - (via (at 217.5 99.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 36)) - (segment (start 217.859999 99.140001) (end 217.5 99.5) (width 0.25) (layer F.Cu) (net 36)) - (segment (start 172.899999 98.899999) (end 172.5 98.5) (width 0.25) (layer B.Cu) (net 36)) - (segment (start 175.625492 99.675338) (end 175.30083 100) (width 0.25) (layer B.Cu) (net 36)) - (segment (start 184.324662 99.675338) (end 175.625492 99.675338) (width 0.25) (layer B.Cu) (net 36)) - (segment (start 217.5 99.5) (end 198.5 99.5) (width 0.25) (layer B.Cu) (net 36)) - (segment (start 196 97) (end 187 97) (width 0.25) (layer B.Cu) (net 36)) - (segment (start 175.30083 100) (end 174 100) (width 0.25) (layer B.Cu) (net 36)) - (segment (start 187 97) (end 184.324662 99.675338) (width 0.25) (layer B.Cu) (net 36)) - (segment (start 174 100) (end 172.899999 98.899999) (width 0.25) (layer B.Cu) (net 36)) - (segment (start 198.5 99.5) (end 196 97) (width 0.25) (layer B.Cu) (net 36)) - (segment (start 169.5 97.310001) (end 172.5 97.310001) (width 0.25) (layer F.Cu) (net 37)) - (via (at 172.5 97.310001) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 37)) - (via (at 216.5 97) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 37)) - (segment (start 214.685 97) (end 214.544999 97.140001) (width 0.25) (layer F.Cu) (net 37)) - (segment (start 216.5 97) (end 214.685 97) (width 0.25) (layer F.Cu) (net 37)) - (segment (start 185.189999 97.310001) (end 187 95.5) (width 0.25) (layer B.Cu) (net 37)) - (segment (start 215.200012 95.700012) (end 216.100001 96.600001) (width 0.25) (layer B.Cu) (net 37)) - (segment (start 216.100001 96.600001) (end 216.5 97) (width 0.25) (layer B.Cu) (net 37)) - (segment (start 187 95.5) (end 195.297274 95.5) (width 0.25) (layer B.Cu) (net 37)) - (segment (start 195.297274 95.5) (end 195.497286 95.700012) (width 0.25) (layer B.Cu) (net 37)) - (segment (start 195.497286 95.700012) (end 215.200012 95.700012) (width 0.25) (layer B.Cu) (net 37)) - (segment (start 172.5 97.310001) (end 185.189999 97.310001) (width 0.25) (layer B.Cu) (net 37)) + (via (at 185.75 112.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 186.675 113.25) (end 186.675 113.175) (width 0.25) (layer F.Cu) (net 5) (status 30)) + (segment (start 186.675 113.175) (end 185.75 112.25) (width 0.25) (layer F.Cu) (net 5) (status 10)) + (via (at 171.75 89.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 169.5 89.690001) (end 171.559999 89.690001) (width 0.25) (layer F.Cu) (net 5) (status 10)) + (segment (start 171.559999 89.690001) (end 171.75 89.5) (width 0.25) (layer F.Cu) (net 5)) + (segment (start 214.36 103.325) (end 214.544999 103.140001) (width 0.25) (layer F.Cu) (net 5) (status 30)) + (segment (start 212.25 103.325) (end 214.36 103.325) (width 0.25) (layer F.Cu) (net 5) (status 30)) + (segment (start 192.502501 103.3375) (end 192.7 103.140001) (width 0.25) (layer F.Cu) (net 5) (status 30)) + (segment (start 190.475 103.3375) (end 192.502501 103.3375) (width 0.25) (layer F.Cu) (net 5) (status 30)) + (segment (start 169.202501 89.9875) (end 169.5 89.690001) (width 0.25) (layer F.Cu) (net 5) (status 30)) + (segment (start 167.2 89.9875) (end 169.202501 89.9875) (width 0.25) (layer F.Cu) (net 5) (status 30)) + (segment (start 217.5 105.934315) (end 217.5 106.5) (width 0.25) (layer F.Cu) (net 5)) + (segment (start 215.794999 103.140001) (end 217.5 104.845002) (width 0.25) (layer F.Cu) (net 5)) + (segment (start 217.5 104.845002) (end 217.5 105.934315) (width 0.25) (layer F.Cu) (net 5)) + (segment (start 214.544999 103.140001) (end 215.794999 103.140001) (width 0.25) (layer F.Cu) (net 5) (status 10)) + (via (at 217.5 106.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 193.95 103.140001) (end 195 104.190001) (width 0.25) (layer F.Cu) (net 5)) + (segment (start 195 104.190001) (end 195 105.434315) (width 0.25) (layer F.Cu) (net 5)) + (segment (start 192.7 103.140001) (end 193.95 103.140001) (width 0.25) (layer F.Cu) (net 5) (status 10)) + (via (at 195 106) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 195 105.434315) (end 195 106) (width 0.25) (layer F.Cu) (net 5)) + (via (at 210.89 116.17) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 210.895001 116.175001) (end 210.89 116.17) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 210.895001 117.455001) (end 210.895001 116.175001) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 210.89 115.066) (end 210.82 114.996) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 210.89 116.17) (end 210.89 115.066) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 200.0375 122.7125) (end 200.5 122.25) (width 0.25) (layer F.Cu) (net 6) (status 20)) + (segment (start 200.0375 124.75) (end 200.0375 122.7125) (width 0.25) (layer F.Cu) (net 6) (status 10)) + (segment (start 208.471 123.315) (end 208.6 123.444) (width 0.25) (layer F.Cu) (net 6)) + (segment (start 208.471 121.412) (end 208.471 123.315) (width 0.25) (layer F.Cu) (net 6)) + (segment (start 208.725 121.158) (end 208.471 121.412) (width 0.25) (layer F.Cu) (net 6)) + (segment (start 207.915001 117.455001) (end 208.695001 117.455001) (width 0.25) (layer F.Cu) (net 6)) + (segment (start 207.01 118.360002) (end 207.915001 117.455001) (width 0.25) (layer F.Cu) (net 6)) + (segment (start 207.086 122.25) (end 207.01 122.174) (width 0.25) (layer F.Cu) (net 6)) + (segment (start 208.6 123.444) (end 207.406 122.25) (width 0.25) (layer F.Cu) (net 6)) + (segment (start 207.406 122.25) (end 200.5 122.25) (width 0.25) (layer F.Cu) (net 6)) + (segment (start 207.678 122.522) (end 207.406 122.25) (width 0.25) (layer F.Cu) (net 6)) + (segment (start 207.01 122.174) (end 207.01 118.360002) (width 0.25) (layer F.Cu) (net 6)) + (segment (start 198.5125 121.2875) (end 198.5 121.3) (width 0.25) (layer F.Cu) (net 7) (status 30)) + (segment (start 198.5125 119.7) (end 198.5125 121.2875) (width 0.25) (layer F.Cu) (net 7) (status 30)) + (segment (start 198.45 121.25) (end 198.5 121.3) (width 0.25) (layer F.Cu) (net 7) (status 30)) + (segment (start 196.1 121.25) (end 198.45 121.25) (width 0.25) (layer F.Cu) (net 7) (status 30)) + (segment (start 199.6375 118.1) (end 198.5125 119.225) (width 0.25) (layer F.Cu) (net 7) (status 20)) + (segment (start 198.5125 119.225) (end 198.5125 119.7) (width 0.25) (layer F.Cu) (net 7) (status 30)) + (segment (start 201.5125 118.1) (end 199.6375 118.1) (width 0.25) (layer F.Cu) (net 7) (status 10)) + (segment (start 201.5125 117.625) (end 209.4095 109.728) (width 0.3) (layer F.Cu) (net 7)) + (segment (start 201.5125 118.1) (end 201.5125 117.625) (width 0.3) (layer F.Cu) (net 7)) + (segment (start 216.408 109.728) (end 218.186 111.506) (width 0.3) (layer F.Cu) (net 7)) + (segment (start 209.4095 109.728) (end 216.408 109.728) (width 0.3) (layer F.Cu) (net 7)) + (segment (start 178.0625 116.75) (end 178.0625 118.249665) (width 0.25) (layer F.Cu) (net 8) (status 10)) + (segment (start 177.525 119.5) (end 177.379793 119.645207) (width 0.25) (layer F.Cu) (net 8) (status 10)) + (segment (start 176.812165 119.5) (end 176.475 119.5) (width 0.25) (layer F.Cu) (net 8)) + (segment (start 176.620207 119.645207) (end 176.475 119.5) (width 0.25) (layer F.Cu) (net 8)) + (segment (start 178.0625 118.249665) (end 176.812165 119.5) (width 0.25) (layer F.Cu) (net 8)) + (segment (start 177.9625 119.5) (end 177.525 119.5) (width 0.25) (layer F.Cu) (net 8) (status 30)) + (segment (start 176.475 119.5) (end 176.0375 119.5) (width 0.25) (layer F.Cu) (net 8) (status 20)) + (segment (start 177.379793 119.645207) (end 176.620207 119.645207) (width 0.25) (layer F.Cu) (net 8)) + (via (at 179.508234 120.675759) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) + (segment (start 180.150011 122.020303) (end 180.150011 121.317536) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 180 122.170314) (end 180.150011 122.020303) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 180 123.34) (end 180 122.170314) (width 0.25) (layer F.Cu) (net 9) (status 10)) + (segment (start 179.908233 121.075758) (end 179.508234 120.675759) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 180.150011 121.317536) (end 179.908233 121.075758) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 178.0625 115.75) (end 176.371987 115.75) (width 0.25) (layer F.Cu) (net 9) (status 10)) + (via (at 176.169995 115.951992) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) + (segment (start 176.371987 115.75) (end 176.169995 115.951992) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 179.508234 120.675759) (end 180.902996 119.280997) (width 0.25) (layer B.Cu) (net 9)) + (segment (start 180.902996 118.599518) (end 178.25547 115.951992) (width 0.25) (layer B.Cu) (net 9)) + (segment (start 176.73568 115.951992) (end 176.169995 115.951992) (width 0.25) (layer B.Cu) (net 9)) + (segment (start 180.902996 119.280997) (end 180.902996 118.599518) (width 0.25) (layer B.Cu) (net 9)) + (segment (start 178.25547 115.951992) (end 176.73568 115.951992) (width 0.25) (layer B.Cu) (net 9)) + (via (at 179.42501 121.672302) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10)) + (segment (start 179.35 123.34) (end 179.35 121.747312) (width 0.25) (layer F.Cu) (net 10) (status 10)) + (segment (start 179.35 121.747312) (end 179.42501 121.672302) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 176.914153 119.161445) (end 176.914153 118.32501) (width 0.25) (layer B.Cu) (net 10)) + (segment (start 178.0625 116.25) (end 177.584315 116.25) (width 0.25) (layer F.Cu) (net 10) (status 10)) + (segment (start 179.42501 121.672302) (end 176.914153 119.161445) (width 0.25) (layer B.Cu) (net 10)) + (via (at 176.914153 118.32501) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10)) + (segment (start 176.914153 117.759325) (end 176.914153 118.32501) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 177.584315 116.25) (end 176.914153 116.920162) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 176.914153 116.920162) (end 176.914153 117.759325) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 170.95 121.05) (end 170.9 121) (width 0.25) (layer F.Cu) (net 11) (status 30)) + (segment (start 170.95 123.1) (end 170.95 121.05) (width 0.25) (layer F.Cu) (net 11) (status 30)) + (segment (start 170.9 119.5125) (end 170.9125 119.5) (width 0.25) (layer F.Cu) (net 11) (status 30)) + (segment (start 170.9 121) (end 170.9 119.5125) (width 0.25) (layer F.Cu) (net 11) (status 30)) + (segment (start 170.9125 119.5) (end 170.9125 119.1875) (width 0.25) (layer F.Cu) (net 11) (status 30)) + (via (at 171.5 118.6) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 11)) + (segment (start 170.9125 119.1875) (end 171.5 118.6) (width 0.25) (layer F.Cu) (net 11) (status 10)) + (segment (start 179.25 117.9375) (end 179.25 118.57499) (width 0.25) (layer F.Cu) (net 11) (status 10)) + (segment (start 178.27501 117.6) (end 179.075011 118.400001) (width 0.25) (layer B.Cu) (net 11)) + (segment (start 179.25 118.57499) (end 179.27501 118.6) (width 0.25) (layer F.Cu) (net 11)) + (segment (start 172.5 117.6) (end 178.27501 117.6) (width 0.25) (layer B.Cu) (net 11)) + (segment (start 179.075011 118.400001) (end 179.27501 118.6) (width 0.25) (layer B.Cu) (net 11)) + (segment (start 171.5 118.6) (end 172.5 117.6) (width 0.25) (layer B.Cu) (net 11)) + (via (at 179.27501 118.6) (size 0.4) (drill 0.3) (layers F.Cu B.Cu) (net 11)) + (segment (start 192 113.5) (end 194.5 113.5) (width 0.25) (layer F.Cu) (net 12) (status 30)) + (via (at 191 113.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 12)) + (segment (start 192 113.5) (end 191 113.5) (width 0.25) (layer F.Cu) (net 12) (status 10)) + (via (at 182 113.75) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 12)) + (segment (start 181.5625 113.75) (end 181.25 114.0625) (width 0.25) (layer F.Cu) (net 12) (status 20)) + (segment (start 182 113.75) (end 181.5625 113.75) (width 0.25) (layer F.Cu) (net 12)) + (segment (start 191 113.5) (end 190.5 114) (width 0.25) (layer B.Cu) (net 12)) + (segment (start 182.25 114) (end 182 113.75) (width 0.25) (layer B.Cu) (net 12)) + (segment (start 190.5 114) (end 182.25 114) (width 0.25) (layer B.Cu) (net 12)) + (via (at 171.75 91.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 13)) + (segment (start 169.789999 91.25) (end 169.5 90.960001) (width 0.25) (layer F.Cu) (net 13) (status 30)) + (segment (start 171.75 91.25) (end 169.789999 91.25) (width 0.25) (layer F.Cu) (net 13) (status 20)) + (segment (start 190 114.45) (end 189.3 114.45) (width 0.25) (layer F.Cu) (net 13) (status 10)) + (segment (start 188.5 113.65) (end 188.5 112.565685) (width 0.25) (layer F.Cu) (net 13) (status 10)) + (segment (start 189.3 114.45) (end 188.5 113.65) (width 0.25) (layer F.Cu) (net 13) (status 20)) + (via (at 188.5 112) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 13)) + (segment (start 188.5 112.565685) (end 188.5 112) (width 0.25) (layer F.Cu) (net 13)) + (segment (start 172.348002 101.725002) (end 173.198013 102.575013) (width 0.25) (layer B.Cu) (net 13)) + (segment (start 170.774998 101.348002) (end 171.151998 101.725002) (width 0.25) (layer B.Cu) (net 13)) + (segment (start 176.734402 102.575013) (end 177.209393 102.100022) (width 0.25) (layer B.Cu) (net 13)) + (segment (start 188.5 111.434315) (end 188.5 112) (width 0.25) (layer B.Cu) (net 13)) + (segment (start 173.198013 102.575013) (end 176.734402 102.575013) (width 0.25) (layer B.Cu) (net 13)) + (segment (start 171.75 91.25) (end 170.774998 92.225002) (width 0.25) (layer B.Cu) (net 13)) + (segment (start 188.5 107.176996) (end 188.5 111.434315) (width 0.25) (layer B.Cu) (net 13)) + (segment (start 171.151998 101.725002) (end 172.348002 101.725002) (width 0.25) (layer B.Cu) (net 13)) + (segment (start 177.209393 102.100022) (end 183.423026 102.100022) (width 0.25) (layer B.Cu) (net 13)) + (segment (start 170.774998 92.225002) (end 170.774998 101.348002) (width 0.25) (layer B.Cu) (net 13)) + (segment (start 183.423026 102.100022) (end 188.5 107.176996) (width 0.25) (layer B.Cu) (net 13)) + (segment (start 190.7 112.55) (end 190 112.55) (width 0.25) (layer F.Cu) (net 14) (status 20)) + (segment (start 196.368994 112.55) (end 190.7 112.55) (width 0.25) (layer F.Cu) (net 14)) + (segment (start 196.83751 113.018516) (end 196.368994 112.55) (width 0.25) (layer F.Cu) (net 14)) + (segment (start 196.5125 117.5) (end 196.83751 117.17499) (width 0.25) (layer F.Cu) (net 14)) + (segment (start 196.83751 117.17499) (end 196.83751 113.018516) (width 0.25) (layer F.Cu) (net 14)) + (segment (start 196.075 117.5) (end 196.5125 117.5) (width 0.25) (layer F.Cu) (net 14) (status 10)) + (segment (start 187.48749 112.26251) (end 187.5 112.25) (width 0.25) (layer F.Cu) (net 15)) + (via (at 187.5 112.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (segment (start 190 118.45) (end 189.3 118.45) (width 0.25) (layer F.Cu) (net 15) (status 10)) + (segment (start 187.48749 116.63749) (end 187.48749 112.26251) (width 0.25) (layer F.Cu) (net 15)) + (segment (start 189.3 118.45) (end 187.48749 116.63749) (width 0.25) (layer F.Cu) (net 15)) + (segment (start 185 109.75) (end 185 104.75) (width 0.25) (layer B.Cu) (net 15)) + (segment (start 187.5 112.25) (end 185 109.75) (width 0.25) (layer B.Cu) (net 15)) + (via (at 185 104.75) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (segment (start 186.319999 104.75) (end 186.5 104.930001) (width 0.25) (layer F.Cu) (net 15) (status 30)) + (segment (start 185 104.75) (end 186.319999 104.75) (width 0.25) (layer F.Cu) (net 15) (status 20)) + (segment (start 192 117.5) (end 194.5 117.5) (width 0.25) (layer F.Cu) (net 16) (status 30)) + (via (at 191 117.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 16)) + (segment (start 192 117.5) (end 191 117.5) (width 0.25) (layer F.Cu) (net 16) (status 10)) + (via (at 179.25 112.75) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 16)) + (segment (start 179.25 112.75) (end 179.25 114.0625) (width 0.25) (layer F.Cu) (net 16) (status 20)) + (segment (start 182.5 116) (end 179.25 112.75) (width 0.25) (layer B.Cu) (net 16)) + (segment (start 191 117.5) (end 189.5 116) (width 0.25) (layer B.Cu) (net 16)) + (segment (start 189.5 116) (end 182.5 116) (width 0.25) (layer B.Cu) (net 16)) + (segment (start 193.5 116.55) (end 190.7 116.55) (width 0.25) (layer F.Cu) (net 17)) + (segment (start 196.075 113.975) (end 193.5 116.55) (width 0.25) (layer F.Cu) (net 17)) + (segment (start 190.7 116.55) (end 190 116.55) (width 0.25) (layer F.Cu) (net 17) (status 20)) + (segment (start 196.075 113.5) (end 196.075 113.975) (width 0.25) (layer F.Cu) (net 17) (status 10)) + (segment (start 203.0875 119.7) (end 203.0875 118.1) (width 0.25) (layer F.Cu) (net 18) (status 30)) + (segment (start 171.959999 96.040001) (end 172 96) (width 0.25) (layer F.Cu) (net 18)) + (via (at 172 96) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 18)) + (segment (start 169.5 96.040001) (end 171.959999 96.040001) (width 0.25) (layer F.Cu) (net 18) (status 10)) + (segment (start 172 96) (end 171.600001 96.399999) (width 0.25) (layer B.Cu) (net 18)) + (via (at 203.559114 116.639061) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 18)) + (segment (start 203.0875 118.1) (end 203.0875 117.110675) (width 0.25) (layer F.Cu) (net 18)) + (segment (start 176.548002 102.125002) (end 177.022993 101.650011) (width 0.25) (layer B.Cu) (net 18)) + (segment (start 203.0875 117.110675) (end 203.559114 116.639061) (width 0.25) (layer F.Cu) (net 18)) + (segment (start 177.022993 101.650011) (end 188.570064 101.650011) (width 0.25) (layer B.Cu) (net 18)) + (segment (start 171.600001 96.399999) (end 171.600001 100.100001) (width 0.25) (layer B.Cu) (net 18)) + (segment (start 171.600001 100.100001) (end 173.625002 102.125002) (width 0.25) (layer B.Cu) (net 18)) + (segment (start 173.625002 102.125002) (end 176.548002 102.125002) (width 0.25) (layer B.Cu) (net 18)) + (segment (start 188.570064 101.650011) (end 203.559114 116.639061) (width 0.25) (layer B.Cu) (net 18)) + (segment (start 179.800012 119.237488) (end 179.800012 118.400012) (width 0.25) (layer F.Cu) (net 19) (status 10)) + (segment (start 179.75 118.35) (end 179.75 117.9375) (width 0.25) (layer F.Cu) (net 19) (status 20)) + (segment (start 179.800012 118.400012) (end 179.75 118.35) (width 0.25) (layer F.Cu) (net 19)) + (segment (start 179.5375 119.5) (end 179.800012 119.237488) (width 0.25) (layer F.Cu) (net 19) (status 30)) + (via (at 189.5 89.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 20)) + (segment (start 186.5 89.690001) (end 189.309999 89.690001) (width 0.25) (layer F.Cu) (net 20) (status 10)) + (segment (start 189.309999 89.690001) (end 189.5 89.5) (width 0.25) (layer F.Cu) (net 20)) + (via (at 197 89.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 20)) + (segment (start 189.5 89.5) (end 197 89.5) (width 0.25) (layer B.Cu) (net 20)) + (via (at 216 91.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 20)) + (segment (start 214.904998 91.5) (end 214.544999 91.140001) (width 0.25) (layer F.Cu) (net 20) (status 30)) + (segment (start 216 91.5) (end 214.904998 91.5) (width 0.25) (layer F.Cu) (net 20) (status 20)) + (segment (start 206.065685 94.97501) (end 205.5 94.97501) (width 0.25) (layer B.Cu) (net 20)) + (segment (start 205.100001 94.575011) (end 205.5 94.97501) (width 0.25) (layer F.Cu) (net 20)) + (segment (start 213.090675 94.97501) (end 206.065685 94.97501) (width 0.25) (layer B.Cu) (net 20)) + (segment (start 200.02499 89.5) (end 205.100001 94.575011) (width 0.25) (layer F.Cu) (net 20)) + (segment (start 197 89.5) (end 200.02499 89.5) (width 0.25) (layer F.Cu) (net 20)) + (segment (start 216 91.5) (end 216 92.065685) (width 0.25) (layer B.Cu) (net 20)) + (segment (start 216 92.065685) (end 213.090675 94.97501) (width 0.25) (layer B.Cu) (net 20)) + (via (at 205.5 94.97501) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 20)) + (via (at 229 105) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 21)) + (segment (start 231.004998 105) (end 231.144999 105.140001) (width 0.25) (layer F.Cu) (net 21) (status 30)) + (segment (start 229 105) (end 231.004998 105) (width 0.25) (layer F.Cu) (net 21) (status 20)) + (segment (start 209.3 105.140001) (end 207.640001 105.140001) (width 0.25) (layer F.Cu) (net 21) (status 10)) + (segment (start 207.640001 105.140001) (end 207.5 105) (width 0.25) (layer F.Cu) (net 21)) + (via (at 207.5 105) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 21)) + (segment (start 229 105) (end 208.065685 105) (width 0.25) (layer B.Cu) (net 21)) + (segment (start 208.065685 105) (end 207.5 105) (width 0.25) (layer B.Cu) (net 21)) + (segment (start 186.5 90.960001) (end 187.75 90.960001) (width 0.25) (layer F.Cu) (net 21) (status 10)) + (via (at 189.748857 99.843307) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 21)) + (segment (start 190.148856 100.243306) (end 189.748857 99.843307) (width 0.25) (layer B.Cu) (net 21)) + (segment (start 207.5 105) (end 194.90555 105) (width 0.25) (layer B.Cu) (net 21)) + (segment (start 187.75 90.960001) (end 190.148856 93.358857) (width 0.25) (layer F.Cu) (net 21)) + (segment (start 194.90555 105) (end 190.148856 100.243306) (width 0.25) (layer B.Cu) (net 21)) + (segment (start 190.148856 99.443308) (end 189.748857 99.843307) (width 0.25) (layer F.Cu) (net 21)) + (segment (start 190.148856 93.358857) (end 190.148856 99.443308) (width 0.25) (layer F.Cu) (net 21)) + (via (at 182.5 109.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 22)) + (segment (start 180.75 114.0625) (end 180.75 111.25) (width 0.25) (layer F.Cu) (net 22) (status 10)) + (segment (start 180.75 111.25) (end 182.5 109.5) (width 0.25) (layer F.Cu) (net 22)) + (segment (start 182.5 104.579999) (end 182.75 104.329999) (width 0.25) (layer B.Cu) (net 22)) + (via (at 182.75 104.329999) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 22)) + (segment (start 182.5 109.5) (end 182.5 104.579999) (width 0.25) (layer B.Cu) (net 22)) + (segment (start 185.25 92.230001) (end 186.5 92.230001) (width 0.25) (layer F.Cu) (net 22) (status 20)) + (segment (start 182.75 94.715575) (end 185.235574 92.230001) (width 0.25) (layer F.Cu) (net 22)) + (segment (start 185.235574 92.230001) (end 185.25 92.230001) (width 0.25) (layer F.Cu) (net 22)) + (segment (start 182.75 104.329999) (end 182.75 94.715575) (width 0.25) (layer F.Cu) (net 22)) + (via (at 181.75 108.75) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 23)) + (segment (start 180.25 114.0625) (end 180.25 110.25) (width 0.25) (layer F.Cu) (net 23) (status 10)) + (segment (start 180.25 110.25) (end 181.75 108.75) (width 0.25) (layer F.Cu) (net 23)) + (via (at 183.5 103.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 23)) + (segment (start 182.756995 103.25) (end 182.934315 103.25) (width 0.25) (layer B.Cu) (net 23)) + (segment (start 182.934315 103.25) (end 183.5 103.25) (width 0.25) (layer B.Cu) (net 23)) + (segment (start 181.75 104.256995) (end 182.756995 103.25) (width 0.25) (layer B.Cu) (net 23)) + (segment (start 181.75 108.75) (end 181.75 104.256995) (width 0.25) (layer B.Cu) (net 23)) + (segment (start 184.601985 93.500001) (end 183.5 94.601986) (width 0.25) (layer F.Cu) (net 23)) + (segment (start 186.5 93.500001) (end 184.601985 93.500001) (width 0.25) (layer F.Cu) (net 23) (status 10)) + (segment (start 183.5 102.684315) (end 183.5 103.25) (width 0.25) (layer F.Cu) (net 23)) + (segment (start 183.5 94.601986) (end 183.5 102.684315) (width 0.25) (layer F.Cu) (net 23)) + (segment (start 228.640001 103.140001) (end 228.5 103) (width 0.25) (layer F.Cu) (net 24)) + (segment (start 231.144999 103.140001) (end 228.640001 103.140001) (width 0.25) (layer F.Cu) (net 24) (status 10)) + (via (at 228.5 103) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 24)) + (segment (start 207.140001 103.140001) (end 207 103) (width 0.25) (layer F.Cu) (net 24)) + (via (at 207 103) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 24)) + (segment (start 228.5 103) (end 207 103) (width 0.25) (layer B.Cu) (net 24)) + (segment (start 209.3 103.140001) (end 207.140001 103.140001) (width 0.25) (layer F.Cu) (net 24) (status 10)) + (segment (start 194.740034 99.033576) (end 189.727721 99.033576) (width 0.25) (layer B.Cu) (net 24)) + (segment (start 207 103) (end 198.706458 103) (width 0.25) (layer B.Cu) (net 24)) + (via (at 189.162036 99.033576) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 24)) + (segment (start 188.343005 94.770001) (end 189.162036 95.589032) (width 0.25) (layer F.Cu) (net 24)) + (segment (start 189.162036 98.467891) (end 189.162036 99.033576) (width 0.25) (layer F.Cu) (net 24)) + (segment (start 189.162036 95.589032) (end 189.162036 98.467891) (width 0.25) (layer F.Cu) (net 24)) + (segment (start 198.706458 103) (end 194.740034 99.033576) (width 0.25) (layer B.Cu) (net 24)) + (segment (start 186.5 94.770001) (end 188.343005 94.770001) (width 0.25) (layer F.Cu) (net 24) (status 10)) + (segment (start 189.727721 99.033576) (end 189.162036 99.033576) (width 0.25) (layer B.Cu) (net 24)) + (via (at 207.5 101) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 25)) + (segment (start 209.159999 101) (end 209.3 101.140001) (width 0.25) (layer F.Cu) (net 25) (status 30)) + (segment (start 207.5 101) (end 209.159999 101) (width 0.25) (layer F.Cu) (net 25) (status 20)) + (via (at 228.5 101) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 25)) + (segment (start 207.5 101) (end 228.5 101) (width 0.25) (layer B.Cu) (net 25)) + (segment (start 231.004998 101) (end 231.144999 101.140001) (width 0.25) (layer F.Cu) (net 25) (status 30)) + (segment (start 228.5 101) (end 231.004998 101) (width 0.25) (layer F.Cu) (net 25) (status 20)) + (segment (start 187.9688 97.310001) (end 188.383809 97.72501) (width 0.25) (layer F.Cu) (net 25)) + (segment (start 186.5 97.310001) (end 187.9688 97.310001) (width 0.25) (layer F.Cu) (net 25) (status 10)) + (segment (start 188.949494 97.72501) (end 188.383809 97.72501) (width 0.25) (layer B.Cu) (net 25)) + (segment (start 199.36359 101) (end 195.813601 97.450011) (width 0.25) (layer B.Cu) (net 25)) + (segment (start 189.224493 97.450011) (end 188.949494 97.72501) (width 0.25) (layer B.Cu) (net 25)) + (segment (start 207.5 101) (end 199.36359 101) (width 0.25) (layer B.Cu) (net 25)) + (via (at 188.383809 97.72501) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 25)) + (segment (start 195.813601 97.450011) (end 189.224493 97.450011) (width 0.25) (layer B.Cu) (net 25)) + (segment (start 191.45 93.140001) (end 190.8 93.790001) (width 0.25) (layer F.Cu) (net 26)) + (segment (start 192.7 93.140001) (end 191.45 93.140001) (width 0.25) (layer F.Cu) (net 26) (status 10)) + (segment (start 190.8 93.790001) (end 190.8 100.3) (width 0.25) (layer F.Cu) (net 26)) + (segment (start 190.8 100.3) (end 190.1 101) (width 0.25) (layer F.Cu) (net 26)) + (segment (start 190.1 101) (end 189 101) (width 0.25) (layer F.Cu) (net 26)) + (segment (start 187.850001 99.850001) (end 186.5 99.850001) (width 0.25) (layer F.Cu) (net 26) (status 20)) + (segment (start 189 101) (end 187.850001 99.850001) (width 0.25) (layer F.Cu) (net 26)) + (via (at 184.899998 94.27499) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 27)) + (segment (start 184.899998 103.309999) (end 184.899998 94.840675) (width 0.25) (layer F.Cu) (net 27)) + (segment (start 186.5 103.660001) (end 185.25 103.660001) (width 0.25) (layer F.Cu) (net 27) (status 10)) + (segment (start 185.25 103.660001) (end 184.899998 103.309999) (width 0.25) (layer F.Cu) (net 27)) + (segment (start 184.899998 94.840675) (end 184.899998 94.27499) (width 0.25) (layer F.Cu) (net 27)) + (segment (start 195.5 91) (end 192.840001 91) (width 0.25) (layer F.Cu) (net 27) (status 20)) + (segment (start 192.840001 91) (end 192.7 91.140001) (width 0.25) (layer F.Cu) (net 27) (status 30)) + (via (at 195.5 91) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 27)) + (segment (start 188.174988 91) (end 184.899998 94.27499) (width 0.25) (layer B.Cu) (net 27)) + (segment (start 195.5 91) (end 188.174988 91) (width 0.25) (layer B.Cu) (net 27)) + (segment (start 182.445 107.180001) (end 184.264999 109) (width 0.25) (layer F.Cu) (net 28)) + (segment (start 182.445 105.930001) (end 182.445 107.180001) (width 0.25) (layer F.Cu) (net 28) (status 10)) + (segment (start 184.264999 109) (end 198.164366 109) (width 0.25) (layer F.Cu) (net 28)) + (segment (start 198.164366 109) (end 208.024365 99.140001) (width 0.25) (layer F.Cu) (net 28)) + (segment (start 208.05 99.140001) (end 209.3 99.140001) (width 0.25) (layer F.Cu) (net 28) (status 20)) + (segment (start 208.024365 99.140001) (end 208.05 99.140001) (width 0.25) (layer F.Cu) (net 28)) + (via (at 182.017889 96.27499) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 29)) + (segment (start 192.7 95.140001) (end 195.680297 95.140001) (width 0.25) (layer F.Cu) (net 29) (status 10)) + (segment (start 182.017889 96.27499) (end 185.5886 96.27499) (width 0.25) (layer B.Cu) (net 29)) + (segment (start 195.279603 94.97501) (end 195.845288 94.97501) (width 0.25) (layer B.Cu) (net 29)) + (segment (start 186.88858 94.97501) (end 195.279603 94.97501) (width 0.25) (layer B.Cu) (net 29)) + (segment (start 195.680297 95.140001) (end 195.845288 94.97501) (width 0.25) (layer F.Cu) (net 29)) + (via (at 195.845288 94.97501) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 29)) + (segment (start 185.5886 96.27499) (end 186.88858 94.97501) (width 0.25) (layer B.Cu) (net 29)) + (segment (start 181.61789 97.055112) (end 181.61789 96.674989) (width 0.25) (layer F.Cu) (net 29)) + (segment (start 173.555 105.118002) (end 181.61789 97.055112) (width 0.25) (layer F.Cu) (net 29) (status 10)) + (segment (start 181.61789 96.674989) (end 182.017889 96.27499) (width 0.25) (layer F.Cu) (net 29)) + (segment (start 173.555 105.930001) (end 173.555 105.118002) (width 0.25) (layer F.Cu) (net 29) (status 30)) + (via (at 194.954481 98.175021) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 30)) + (segment (start 193.95 97.140001) (end 194.954481 98.144482) (width 0.25) (layer F.Cu) (net 30)) + (segment (start 194.954481 98.144482) (end 194.954481 98.175021) (width 0.25) (layer F.Cu) (net 30)) + (segment (start 192.7 97.140001) (end 193.95 97.140001) (width 0.25) (layer F.Cu) (net 30) (status 10)) + (segment (start 169.5 103.660001) (end 172.713833 103.660001) (width 0.25) (layer F.Cu) (net 30) (status 10)) + (segment (start 172.713833 103.660001) (end 175.973494 100.40034) (width 0.25) (layer F.Cu) (net 30)) + (via (at 175.973494 100.40034) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 30)) + (segment (start 189.006802 98.175021) (end 188.731811 98.450012) (width 0.25) (layer B.Cu) (net 30)) + (segment (start 188.731811 98.450012) (end 188.094544 98.450012) (width 0.25) (layer B.Cu) (net 30)) + (segment (start 194.954481 98.175021) (end 189.006802 98.175021) (width 0.25) (layer B.Cu) (net 30)) + (segment (start 186.144216 100.40034) (end 176.539179 100.40034) (width 0.25) (layer B.Cu) (net 30)) + (segment (start 176.539179 100.40034) (end 175.973494 100.40034) (width 0.25) (layer B.Cu) (net 30)) + (segment (start 188.094544 98.450012) (end 186.144216 100.40034) (width 0.25) (layer B.Cu) (net 30)) + (via (at 217.207115 95.792885) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 31)) + (segment (start 216.554231 95.140001) (end 217.207115 95.792885) (width 0.25) (layer F.Cu) (net 31)) + (segment (start 214.544999 95.140001) (end 216.554231 95.140001) (width 0.25) (layer F.Cu) (net 31) (status 10)) + (segment (start 169.5 102.390001) (end 171.945373 102.390001) (width 0.25) (layer F.Cu) (net 31) (status 10)) + (via (at 175.315373 99.020001) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 31)) + (segment (start 171.945373 102.390001) (end 174.915374 99.42) (width 0.25) (layer F.Cu) (net 31)) + (segment (start 174.915374 99.42) (end 175.315373 99.020001) (width 0.25) (layer F.Cu) (net 31)) + (segment (start 186.913579 96.450011) (end 184.343589 99.020001) (width 0.25) (layer B.Cu) (net 31)) + (segment (start 217.607114 96.192884) (end 217.607114 96.96589) (width 0.25) (layer B.Cu) (net 31)) + (segment (start 217.207115 95.792885) (end 217.607114 96.192884) (width 0.25) (layer B.Cu) (net 31)) + (segment (start 205.225002 97.725002) (end 203.950011 96.450011) (width 0.25) (layer B.Cu) (net 31)) + (segment (start 216.848002 97.725002) (end 205.225002 97.725002) (width 0.25) (layer B.Cu) (net 31)) + (segment (start 203.950011 96.450011) (end 186.913579 96.450011) (width 0.25) (layer B.Cu) (net 31)) + (segment (start 217.607114 96.96589) (end 216.848002 97.725002) (width 0.25) (layer B.Cu) (net 31)) + (segment (start 184.343589 99.020001) (end 175.881058 99.020001) (width 0.25) (layer B.Cu) (net 31)) + (segment (start 175.881058 99.020001) (end 175.315373 99.020001) (width 0.25) (layer B.Cu) (net 31)) + (via (at 173.89998 96) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 32)) + (segment (start 173.89998 98.173024) (end 173.89998 96.565685) (width 0.25) (layer F.Cu) (net 32)) + (segment (start 173.89998 96.565685) (end 173.89998 96) (width 0.25) (layer F.Cu) (net 32)) + (segment (start 172.223003 99.850001) (end 173.89998 98.173024) (width 0.25) (layer F.Cu) (net 32)) + (segment (start 169.5 99.850001) (end 172.223003 99.850001) (width 0.25) (layer F.Cu) (net 32) (status 10)) + (segment (start 212.500004 93.934996) (end 212.500004 94.25) (width 0.25) (layer F.Cu) (net 32)) + (segment (start 213.294999 93.140001) (end 212.500004 93.934996) (width 0.25) (layer F.Cu) (net 32)) + (segment (start 214.544999 93.140001) (end 213.294999 93.140001) (width 0.25) (layer F.Cu) (net 32) (status 10)) + (via (at 212.500004 94.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 32)) + (segment (start 186.227179 95) (end 186.977179 94.25) (width 0.25) (layer B.Cu) (net 32)) + (segment (start 211.934319 94.25) (end 212.500004 94.25) (width 0.25) (layer B.Cu) (net 32)) + (segment (start 174.89998 95) (end 186.227179 95) (width 0.25) (layer B.Cu) (net 32)) + (segment (start 211.659318 94.525001) (end 211.934319 94.25) (width 0.25) (layer B.Cu) (net 32)) + (segment (start 206.876998 94.25) (end 207.151999 94.525001) (width 0.25) (layer B.Cu) (net 32)) + (segment (start 173.89998 96) (end 174.89998 95) (width 0.25) (layer B.Cu) (net 32)) + (segment (start 186.977179 94.25) (end 206.876998 94.25) (width 0.25) (layer B.Cu) (net 32)) + (segment (start 207.151999 94.525001) (end 211.659318 94.525001) (width 0.25) (layer B.Cu) (net 32)) + (via (at 172.5 98.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 33)) + (segment (start 172.419999 98.580001) (end 172.5 98.5) (width 0.25) (layer F.Cu) (net 33)) + (segment (start 169.5 98.580001) (end 172.419999 98.580001) (width 0.25) (layer F.Cu) (net 33) (status 10)) + (segment (start 231.144999 99.140001) (end 217.859999 99.140001) (width 0.25) (layer F.Cu) (net 33) (status 10)) + (via (at 217.5 99.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 33)) + (segment (start 217.859999 99.140001) (end 217.5 99.5) (width 0.25) (layer F.Cu) (net 33)) + (segment (start 172.899999 98.899999) (end 172.5 98.5) (width 0.25) (layer B.Cu) (net 33)) + (segment (start 175.625492 99.675338) (end 175.30083 100) (width 0.25) (layer B.Cu) (net 33)) + (segment (start 184.324662 99.675338) (end 175.625492 99.675338) (width 0.25) (layer B.Cu) (net 33)) + (segment (start 217.5 99.5) (end 198.5 99.5) (width 0.25) (layer B.Cu) (net 33)) + (segment (start 196 97) (end 187 97) (width 0.25) (layer B.Cu) (net 33)) + (segment (start 175.30083 100) (end 174 100) (width 0.25) (layer B.Cu) (net 33)) + (segment (start 187 97) (end 184.324662 99.675338) (width 0.25) (layer B.Cu) (net 33)) + (segment (start 174 100) (end 172.899999 98.899999) (width 0.25) (layer B.Cu) (net 33)) + (segment (start 198.5 99.5) (end 196 97) (width 0.25) (layer B.Cu) (net 33)) + (segment (start 169.5 97.310001) (end 172.5 97.310001) (width 0.25) (layer F.Cu) (net 34) (status 10)) + (via (at 172.5 97.310001) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 34)) + (via (at 216.5 97) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 34)) + (segment (start 214.685 97) (end 214.544999 97.140001) (width 0.25) (layer F.Cu) (net 34) (status 30)) + (segment (start 216.5 97) (end 214.685 97) (width 0.25) (layer F.Cu) (net 34) (status 20)) + (segment (start 185.189999 97.310001) (end 187 95.5) (width 0.25) (layer B.Cu) (net 34)) + (segment (start 215.200012 95.700012) (end 216.100001 96.600001) (width 0.25) (layer B.Cu) (net 34)) + (segment (start 216.100001 96.600001) (end 216.5 97) (width 0.25) (layer B.Cu) (net 34)) + (segment (start 187 95.5) (end 195.297274 95.5) (width 0.25) (layer B.Cu) (net 34)) + (segment (start 195.297274 95.5) (end 195.497286 95.700012) (width 0.25) (layer B.Cu) (net 34)) + (segment (start 195.497286 95.700012) (end 215.200012 95.700012) (width 0.25) (layer B.Cu) (net 34)) + (segment (start 172.5 97.310001) (end 185.189999 97.310001) (width 0.25) (layer B.Cu) (net 34)) + (segment (start 208.695001 119.478001) (end 210.375 121.158) (width 0.25) (layer F.Cu) (net 36)) + (segment (start 208.695001 119.355001) (end 208.695001 119.478001) (width 0.25) (layer F.Cu) (net 36)) - (zone (net 1) (net_name GND) (layer F.Cu) (tstamp 5EA88B2D) (hatch edge 0.508) + (zone (net 1) (net_name GND) (layer F.Cu) (tstamp 60C91980) (hatch edge 0.508) (connect_pads (clearance 0.508)) (min_thickness 0.254) (fill yes (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) @@ -2447,119 +2248,96 @@ ) (filled_polygon (pts - (xy 204.165 85.23925) (xy 204.32375 85.398) (xy 205.198 85.398) (xy 205.198 85.378) (xy 205.452 85.378) - (xy 205.452 85.398) (xy 206.32625 85.398) (xy 206.485 85.23925) (xy 206.487185 84.66) (xy 207.112815 84.66) - (xy 207.115 85.23925) (xy 207.27375 85.398) (xy 208.148 85.398) (xy 208.148 85.378) (xy 208.402 85.378) - (xy 208.402 85.398) (xy 209.27625 85.398) (xy 209.435 85.23925) (xy 209.437185 84.66) (xy 226.112815 84.66) - (xy 226.115 85.23925) (xy 226.27375 85.398) (xy 227.148 85.398) (xy 227.148 85.378) (xy 227.402 85.378) - (xy 227.402 85.398) (xy 228.27625 85.398) (xy 228.435 85.23925) (xy 228.437185 84.66) (xy 229.062815 84.66) - (xy 229.065 85.23925) (xy 229.22375 85.398) (xy 230.098 85.398) (xy 230.098 85.378) (xy 230.352 85.378) - (xy 230.352 85.398) (xy 231.22625 85.398) (xy 231.385 85.23925) (xy 231.387185 84.66) (xy 232.34 84.66) (xy 232.34 89.785581) (xy 232.269481 89.764189) (xy 232.144999 89.751929) (xy 231.430749 89.755001) (xy 231.271999 89.913751) (xy 231.271999 91.013001) (xy 231.291999 91.013001) (xy 231.291999 91.267001) (xy 231.271999 91.267001) (xy 231.271999 91.287001) - (xy 231.017999 91.287001) (xy 231.017999 91.267001) (xy 230.997999 91.267001) (xy 230.997999 91.013001) (xy 231.017999 91.013001) - (xy 231.017999 89.913751) (xy 230.859249 89.755001) (xy 230.144999 89.751929) (xy 230.020517 89.764189) (xy 229.900819 89.800499) - (xy 229.790505 89.859464) (xy 229.693814 89.938816) (xy 229.614462 90.035507) (xy 229.555497 90.145821) (xy 229.519187 90.265519) - (xy 229.51 90.358799) (xy 229.51 88.156046) (xy 229.604494 88.105537) (xy 229.701185 88.026185) (xy 229.780537 87.929494) - (xy 229.839502 87.81918) (xy 229.875812 87.699482) (xy 229.888072 87.575) (xy 229.888072 87.260657) (xy 229.93925 87.26) - (xy 230.098 87.10125) (xy 230.098 85.652) (xy 230.352 85.652) (xy 230.352 87.10125) (xy 230.51075 87.26) - (xy 230.75 87.263072) (xy 230.874482 87.250812) (xy 230.99418 87.214502) (xy 231.104494 87.155537) (xy 231.201185 87.076185) - (xy 231.280537 86.979494) (xy 231.339502 86.86918) (xy 231.375812 86.749482) (xy 231.388072 86.625) (xy 231.385 85.81075) - (xy 231.22625 85.652) (xy 230.352 85.652) (xy 230.098 85.652) (xy 229.22375 85.652) (xy 229.065 85.81075) - (xy 229.064713 85.886928) (xy 228.435287 85.886928) (xy 228.435 85.81075) (xy 228.27625 85.652) (xy 227.402 85.652) - (xy 227.402 87.10125) (xy 227.56075 87.26) (xy 227.611928 87.260657) (xy 227.611928 87.575) (xy 227.624188 87.699482) - (xy 227.660498 87.81918) (xy 227.719463 87.929494) (xy 227.798815 88.026185) (xy 227.895506 88.105537) (xy 227.990001 88.156046) - (xy 227.99 91.957679) (xy 227.986324 91.995002) (xy 227.99 92.032324) (xy 227.99 92.032334) (xy 228.000997 92.143987) - (xy 228.032853 92.249003) (xy 228.044454 92.287248) (xy 228.115026 92.419278) (xy 228.127482 92.434455) (xy 228.209999 92.535003) - (xy 228.239002 92.558806) (xy 229.3312 93.651004) (xy 229.354998 93.680002) (xy 229.383996 93.7038) (xy 229.470722 93.774975) - (xy 229.506927 93.794327) (xy 229.506927 93.890001) (xy 229.519187 94.014483) (xy 229.555497 94.134181) (xy 229.558608 94.140001) - (xy 229.555497 94.145821) (xy 229.519187 94.265519) (xy 229.506927 94.390001) (xy 229.509999 94.854251) (xy 229.668749 95.013001) - (xy 231.017999 95.013001) (xy 231.017999 94.993001) (xy 231.271999 94.993001) (xy 231.271999 95.013001) (xy 231.291999 95.013001) - (xy 231.291999 95.267001) (xy 231.271999 95.267001) (xy 231.271999 95.287001) (xy 231.017999 95.287001) (xy 231.017999 95.267001) - (xy 229.668749 95.267001) (xy 229.509999 95.425751) (xy 229.506927 95.890001) (xy 229.519187 96.014483) (xy 229.555497 96.134181) - (xy 229.558608 96.140001) (xy 229.555497 96.145821) (xy 229.519187 96.265519) (xy 229.506927 96.390001) (xy 229.506927 97.890001) - (xy 229.519187 98.014483) (xy 229.555497 98.134181) (xy 229.558608 98.140001) (xy 229.555497 98.145821) (xy 229.519187 98.265519) - (xy 229.507912 98.380001) (xy 217.897321 98.380001) (xy 217.859998 98.376325) (xy 217.822675 98.380001) (xy 217.822666 98.380001) - (xy 217.711013 98.390998) (xy 217.567752 98.434455) (xy 217.510607 98.465) (xy 217.398061 98.465) (xy 217.198102 98.504774) - (xy 217.009744 98.582795) (xy 216.840226 98.696063) (xy 216.696063 98.840226) (xy 216.582795 99.009744) (xy 216.504774 99.198102) - (xy 216.465 99.398061) (xy 216.465 99.601939) (xy 216.504774 99.801898) (xy 216.582795 99.990256) (xy 216.696063 100.159774) - (xy 216.840226 100.303937) (xy 217.009744 100.417205) (xy 217.198102 100.495226) (xy 217.398061 100.535) (xy 217.601939 100.535) - (xy 217.801898 100.495226) (xy 217.990256 100.417205) (xy 218.159774 100.303937) (xy 218.303937 100.159774) (xy 218.417205 99.990256) - (xy 218.45459 99.900001) (xy 229.507912 99.900001) (xy 229.519187 100.014483) (xy 229.555497 100.134181) (xy 229.558608 100.140001) - (xy 229.555497 100.145821) (xy 229.526928 100.24) (xy 229.203711 100.24) (xy 229.159774 100.196063) (xy 228.990256 100.082795) - (xy 228.801898 100.004774) (xy 228.601939 99.965) (xy 228.398061 99.965) (xy 228.198102 100.004774) (xy 228.009744 100.082795) - (xy 227.840226 100.196063) (xy 227.696063 100.340226) (xy 227.582795 100.509744) (xy 227.504774 100.698102) (xy 227.465 100.898061) - (xy 227.465 101.101939) (xy 227.504774 101.301898) (xy 227.582795 101.490256) (xy 227.696063 101.659774) (xy 227.840226 101.803937) - (xy 228.009744 101.917205) (xy 228.198102 101.995226) (xy 228.222103 102) (xy 228.198102 102.004774) (xy 228.009744 102.082795) - (xy 227.840226 102.196063) (xy 227.696063 102.340226) (xy 227.582795 102.509744) (xy 227.504774 102.698102) (xy 227.465 102.898061) - (xy 227.465 103.101939) (xy 227.504774 103.301898) (xy 227.582795 103.490256) (xy 227.696063 103.659774) (xy 227.840226 103.803937) - (xy 228.009744 103.917205) (xy 228.198102 103.995226) (xy 228.398061 104.035) (xy 228.601939 104.035) (xy 228.646556 104.026125) - (xy 228.509744 104.082795) (xy 228.340226 104.196063) (xy 228.196063 104.340226) (xy 228.082795 104.509744) (xy 228.004774 104.698102) - (xy 227.965 104.898061) (xy 227.965 105.101939) (xy 228.004774 105.301898) (xy 228.082795 105.490256) (xy 228.196063 105.659774) - (xy 228.340226 105.803937) (xy 228.509744 105.917205) (xy 228.698102 105.995226) (xy 228.898061 106.035) (xy 229.101939 106.035) - (xy 229.301898 105.995226) (xy 229.490256 105.917205) (xy 229.508412 105.905074) (xy 229.519187 106.014483) (xy 229.555497 106.134181) - (xy 229.614462 106.244495) (xy 229.693814 106.341186) (xy 229.790505 106.420538) (xy 229.900819 106.479503) (xy 230.020517 106.515813) - (xy 230.144999 106.528073) (xy 232.144999 106.528073) (xy 232.269481 106.515813) (xy 232.340001 106.494421) (xy 232.340001 126.84) - (xy 223.073028 126.84) (xy 223.089502 126.80918) (xy 223.125812 126.689482) (xy 223.138072 126.565) (xy 223.135 126.35075) - (xy 222.97625 126.192) (xy 222.61242 126.192) (xy 222.624482 126.190812) (xy 222.74418 126.154502) (xy 222.854494 126.095537) - (xy 222.951185 126.016185) (xy 223.030537 125.919494) (xy 223.071625 125.842625) (xy 223.135 125.77925) (xy 223.138072 125.565) - (xy 223.135 124.78575) (xy 222.97625 124.627) (xy 222.127 124.627) (xy 222.127 125.45258) (xy 222.125812 125.440518) - (xy 222.089502 125.32082) (xy 222.030537 125.210506) (xy 221.951185 125.113815) (xy 221.873 125.04965) (xy 221.873 124.627) - (xy 221.02375 124.627) (xy 220.865 124.78575) (xy 220.86405 125.0267) (xy 220.802 125.08875) (xy 220.802 125.938) - (xy 220.98465 125.938) (xy 221.048815 126.016185) (xy 221.145506 126.095537) (xy 221.25582 126.154502) (xy 221.375518 126.190812) - (xy 221.38758 126.192) (xy 220.802 126.192) (xy 220.802 126.212) (xy 220.548 126.212) (xy 220.548 126.192) - (xy 219.37375 126.192) (xy 219.215 126.35075) (xy 219.211928 126.565) (xy 219.224188 126.689482) (xy 219.260498 126.80918) - (xy 219.276972 126.84) (xy 218.223028 126.84) (xy 218.239502 126.80918) (xy 218.275812 126.689482) (xy 218.288072 126.565) - (xy 218.285 126.35075) (xy 218.12625 126.192) (xy 215.877 126.192) (xy 215.877 126.212) (xy 215.623 126.212) - (xy 215.623 126.192) (xy 213.37375 126.192) (xy 213.215 126.35075) (xy 213.211928 126.565) (xy 213.224188 126.689482) - (xy 213.260498 126.80918) (xy 213.276972 126.84) (xy 212.223028 126.84) (xy 212.239502 126.80918) (xy 212.275812 126.689482) - (xy 212.288072 126.565) (xy 212.285 126.35075) (xy 212.12625 126.192) (xy 210.952 126.192) (xy 210.952 126.212) - (xy 210.698 126.212) (xy 210.698 126.192) (xy 210.11242 126.192) (xy 210.124482 126.190812) (xy 210.24418 126.154502) - (xy 210.354494 126.095537) (xy 210.451185 126.016185) (xy 210.51535 125.938) (xy 210.698 125.938) (xy 210.698 125.08875) - (xy 210.952 125.08875) (xy 210.952 125.938) (xy 212.12625 125.938) (xy 212.285 125.77925) (xy 212.288072 125.565) - (xy 213.211928 125.565) (xy 213.215 125.77925) (xy 213.37375 125.938) (xy 215.623 125.938) (xy 215.623 125.08875) - (xy 215.877 125.08875) (xy 215.877 125.938) (xy 218.12625 125.938) (xy 218.285 125.77925) (xy 218.288072 125.565) - (xy 219.211928 125.565) (xy 219.215 125.77925) (xy 219.37375 125.938) (xy 220.548 125.938) (xy 220.548 125.08875) - (xy 220.38925 124.93) (xy 219.85 124.926928) (xy 219.725518 124.939188) (xy 219.60582 124.975498) (xy 219.495506 125.034463) - (xy 219.398815 125.113815) (xy 219.319463 125.210506) (xy 219.260498 125.32082) (xy 219.224188 125.440518) (xy 219.211928 125.565) - (xy 218.288072 125.565) (xy 218.275812 125.440518) (xy 218.239502 125.32082) (xy 218.180537 125.210506) (xy 218.101185 125.113815) - (xy 218.004494 125.034463) (xy 217.89418 124.975498) (xy 217.774482 124.939188) (xy 217.65 124.926928) (xy 216.03575 124.93) - (xy 215.877 125.08875) (xy 215.623 125.08875) (xy 215.46425 124.93) (xy 213.85 124.926928) (xy 213.725518 124.939188) - (xy 213.60582 124.975498) (xy 213.495506 125.034463) (xy 213.398815 125.113815) (xy 213.319463 125.210506) (xy 213.260498 125.32082) - (xy 213.224188 125.440518) (xy 213.211928 125.565) (xy 212.288072 125.565) (xy 212.275812 125.440518) (xy 212.239502 125.32082) - (xy 212.180537 125.210506) (xy 212.101185 125.113815) (xy 212.004494 125.034463) (xy 211.89418 124.975498) (xy 211.774482 124.939188) - (xy 211.65 124.926928) (xy 211.11075 124.93) (xy 210.952 125.08875) (xy 210.698 125.08875) (xy 210.63595 125.0267) - (xy 210.635 124.78575) (xy 210.47625 124.627) (xy 209.627 124.627) (xy 209.627 125.04965) (xy 209.548815 125.113815) - (xy 209.469463 125.210506) (xy 209.410498 125.32082) (xy 209.374188 125.440518) (xy 209.373 125.45258) (xy 209.373 124.627) - (xy 208.52375 124.627) (xy 208.365 124.78575) (xy 208.361928 125.565) (xy 208.365 125.77925) (xy 208.428375 125.842625) - (xy 208.469463 125.919494) (xy 208.548815 126.016185) (xy 208.645506 126.095537) (xy 208.75582 126.154502) (xy 208.875518 126.190812) - (xy 208.88758 126.192) (xy 208.52375 126.192) (xy 208.365 126.35075) (xy 208.361928 126.565) (xy 208.374188 126.689482) - (xy 208.410498 126.80918) (xy 208.426972 126.84) (xy 201.101746 126.84) (xy 201.113072 126.725) (xy 201.11 126.53575) - (xy 200.95125 126.377) (xy 200.1645 126.377) (xy 200.1645 126.397) (xy 199.9105 126.397) (xy 199.9105 126.377) - (xy 199.8905 126.377) (xy 199.8905 126.123) (xy 199.9105 126.123) (xy 199.9105 126.103) (xy 200.1645 126.103) - (xy 200.1645 126.123) (xy 200.95125 126.123) (xy 201.11 125.96425) (xy 201.113072 125.775) (xy 201.100812 125.650518) - (xy 201.064502 125.53082) (xy 201.005537 125.420506) (xy 201.003206 125.417665) (xy 201.04785 125.334142) (xy 201.096608 125.173408) - (xy 201.113072 125.00625) (xy 201.113072 124.49375) (xy 201.096608 124.326592) (xy 201.04785 124.165858) (xy 200.968671 124.017725) - (xy 200.862115 123.887885) (xy 200.7975 123.834857) (xy 200.7975 123.435) (xy 208.361928 123.435) (xy 208.365 124.21425) - (xy 208.52375 124.373) (xy 209.373 124.373) (xy 209.373 122.95875) (xy 209.627 122.95875) (xy 209.627 124.373) - (xy 210.47625 124.373) (xy 210.635 124.21425) (xy 210.638072 123.435) (xy 210.625812 123.310518) (xy 210.589502 123.19082) - (xy 210.530537 123.080506) (xy 210.451185 122.983815) (xy 210.354494 122.904463) (xy 210.24418 122.845498) (xy 210.124482 122.809188) - (xy 210 122.796928) (xy 209.78575 122.8) (xy 209.627 122.95875) (xy 209.373 122.95875) (xy 209.21425 122.8) - (xy 209 122.796928) (xy 208.875518 122.809188) (xy 208.75582 122.845498) (xy 208.645506 122.904463) (xy 208.548815 122.983815) - (xy 208.469463 123.080506) (xy 208.410498 123.19082) (xy 208.374188 123.310518) (xy 208.361928 123.435) (xy 200.7975 123.435) - (xy 200.7975 123.288072) (xy 200.95 123.288072) (xy 201.074482 123.275812) (xy 201.19418 123.239502) (xy 201.304494 123.180537) - (xy 201.401185 123.101185) (xy 201.476018 123.01) (xy 201.5493 123.01) (xy 201.709744 123.117205) (xy 201.898102 123.195226) - (xy 202.098061 123.235) (xy 202.301939 123.235) (xy 202.501898 123.195226) (xy 202.690256 123.117205) (xy 202.859774 123.003937) - (xy 203.003937 122.859774) (xy 203.117205 122.690256) (xy 203.195226 122.501898) (xy 203.235 122.301939) (xy 203.235 122.098061) - (xy 203.195226 121.898102) (xy 203.117205 121.709744) (xy 203.003937 121.540226) (xy 202.859774 121.396063) (xy 202.690256 121.282795) - (xy 202.57487 121.235) (xy 208.361928 121.235) (xy 208.374188 121.359482) (xy 208.410498 121.47918) (xy 208.469463 121.589494) - (xy 208.548815 121.686185) (xy 208.645506 121.765537) (xy 208.75582 121.824502) (xy 208.875518 121.860812) (xy 209 121.873072) - (xy 209.21425 121.87) (xy 209.373 121.71125) (xy 209.373 119.462) (xy 209.627 119.462) (xy 209.627 121.71125) - (xy 209.78575 121.87) (xy 210 121.873072) (xy 210.124482 121.860812) (xy 210.24418 121.824502) (xy 210.354494 121.765537) - (xy 210.451185 121.686185) (xy 210.530537 121.589494) (xy 210.589502 121.47918) (xy 210.625812 121.359482) (xy 210.638072 121.235) - (xy 210.635 119.62075) (xy 210.47625 119.462) (xy 209.627 119.462) (xy 209.373 119.462) (xy 208.52375 119.462) - (xy 208.365 119.62075) (xy 208.361928 121.235) (xy 202.57487 121.235) (xy 202.501898 121.204774) (xy 202.301939 121.165) - (xy 202.098061 121.165) (xy 201.898102 121.204774) (xy 201.709744 121.282795) (xy 201.540226 121.396063) (xy 201.462618 121.473671) + (xy 231.017999 91.287001) (xy 231.017999 91.267001) (xy 229.668749 91.267001) (xy 229.509999 91.425751) (xy 229.507768 91.762967) + (xy 229.495001 91.750201) (xy 229.495001 90.390001) (xy 229.506927 90.390001) (xy 229.509999 90.854251) (xy 229.668749 91.013001) + (xy 231.017999 91.013001) (xy 231.017999 89.913751) (xy 230.859249 89.755001) (xy 230.144999 89.751929) (xy 230.020517 89.764189) + (xy 229.900819 89.800499) (xy 229.790505 89.859464) (xy 229.693814 89.938816) (xy 229.614462 90.035507) (xy 229.555497 90.145821) + (xy 229.519187 90.265519) (xy 229.506927 90.390001) (xy 229.495001 90.390001) (xy 229.495001 89.234063) (xy 229.604494 89.175537) + (xy 229.701185 89.096185) (xy 229.780537 88.999494) (xy 229.839502 88.88918) (xy 229.875812 88.769482) (xy 229.888072 88.645) + (xy 229.888072 88.330657) (xy 229.93925 88.33) (xy 230.098 88.17125) (xy 230.098 86.722) (xy 230.352 86.722) + (xy 230.352 88.17125) (xy 230.51075 88.33) (xy 230.75 88.333072) (xy 230.874482 88.320812) (xy 230.99418 88.284502) + (xy 231.104494 88.225537) (xy 231.201185 88.146185) (xy 231.280537 88.049494) (xy 231.339502 87.93918) (xy 231.375812 87.819482) + (xy 231.388072 87.695) (xy 231.385 86.88075) (xy 231.22625 86.722) (xy 230.352 86.722) (xy 230.098 86.722) + (xy 229.22375 86.722) (xy 229.065 86.88075) (xy 229.064713 86.956928) (xy 228.435287 86.956928) (xy 228.435 86.88075) + (xy 228.27625 86.722) (xy 227.402 86.722) (xy 227.402 88.17125) (xy 227.56075 88.33) (xy 227.611928 88.330657) + (xy 227.611928 88.645) (xy 227.624188 88.769482) (xy 227.660498 88.88918) (xy 227.719463 88.999494) (xy 227.798815 89.096185) + (xy 227.895506 89.175537) (xy 227.975002 89.218029) (xy 227.975001 92.027679) (xy 227.971325 92.065002) (xy 227.975001 92.102324) + (xy 227.975001 92.102334) (xy 227.985998 92.213987) (xy 228.009738 92.292247) (xy 228.029455 92.357248) (xy 228.100027 92.489278) + (xy 228.131866 92.528073) (xy 228.195 92.605003) (xy 228.224003 92.628806) (xy 229.246201 93.651004) (xy 229.269999 93.680002) + (xy 229.385724 93.774975) (xy 229.506927 93.83976) (xy 229.506927 93.890001) (xy 229.519187 94.014483) (xy 229.555497 94.134181) + (xy 229.558608 94.140001) (xy 229.555497 94.145821) (xy 229.519187 94.265519) (xy 229.506927 94.390001) (xy 229.509999 94.854251) + (xy 229.668749 95.013001) (xy 231.017999 95.013001) (xy 231.017999 94.993001) (xy 231.271999 94.993001) (xy 231.271999 95.013001) + (xy 231.291999 95.013001) (xy 231.291999 95.267001) (xy 231.271999 95.267001) (xy 231.271999 95.287001) (xy 231.017999 95.287001) + (xy 231.017999 95.267001) (xy 229.668749 95.267001) (xy 229.509999 95.425751) (xy 229.506927 95.890001) (xy 229.519187 96.014483) + (xy 229.555497 96.134181) (xy 229.558608 96.140001) (xy 229.555497 96.145821) (xy 229.519187 96.265519) (xy 229.506927 96.390001) + (xy 229.506927 97.890001) (xy 229.519187 98.014483) (xy 229.555497 98.134181) (xy 229.558608 98.140001) (xy 229.555497 98.145821) + (xy 229.519187 98.265519) (xy 229.507912 98.380001) (xy 217.897321 98.380001) (xy 217.859998 98.376325) (xy 217.822675 98.380001) + (xy 217.822666 98.380001) (xy 217.711013 98.390998) (xy 217.567752 98.434455) (xy 217.510607 98.465) (xy 217.398061 98.465) + (xy 217.198102 98.504774) (xy 217.009744 98.582795) (xy 216.840226 98.696063) (xy 216.696063 98.840226) (xy 216.582795 99.009744) + (xy 216.504774 99.198102) (xy 216.465 99.398061) (xy 216.465 99.601939) (xy 216.504774 99.801898) (xy 216.582795 99.990256) + (xy 216.696063 100.159774) (xy 216.840226 100.303937) (xy 217.009744 100.417205) (xy 217.198102 100.495226) (xy 217.398061 100.535) + (xy 217.601939 100.535) (xy 217.801898 100.495226) (xy 217.990256 100.417205) (xy 218.159774 100.303937) (xy 218.303937 100.159774) + (xy 218.417205 99.990256) (xy 218.45459 99.900001) (xy 229.507912 99.900001) (xy 229.519187 100.014483) (xy 229.555497 100.134181) + (xy 229.558608 100.140001) (xy 229.555497 100.145821) (xy 229.526928 100.24) (xy 229.203711 100.24) (xy 229.159774 100.196063) + (xy 228.990256 100.082795) (xy 228.801898 100.004774) (xy 228.601939 99.965) (xy 228.398061 99.965) (xy 228.198102 100.004774) + (xy 228.009744 100.082795) (xy 227.840226 100.196063) (xy 227.696063 100.340226) (xy 227.582795 100.509744) (xy 227.504774 100.698102) + (xy 227.465 100.898061) (xy 227.465 101.101939) (xy 227.504774 101.301898) (xy 227.582795 101.490256) (xy 227.696063 101.659774) + (xy 227.840226 101.803937) (xy 228.009744 101.917205) (xy 228.198102 101.995226) (xy 228.222103 102) (xy 228.198102 102.004774) + (xy 228.009744 102.082795) (xy 227.840226 102.196063) (xy 227.696063 102.340226) (xy 227.582795 102.509744) (xy 227.504774 102.698102) + (xy 227.465 102.898061) (xy 227.465 103.101939) (xy 227.504774 103.301898) (xy 227.582795 103.490256) (xy 227.696063 103.659774) + (xy 227.840226 103.803937) (xy 228.009744 103.917205) (xy 228.198102 103.995226) (xy 228.398061 104.035) (xy 228.601939 104.035) + (xy 228.646556 104.026125) (xy 228.509744 104.082795) (xy 228.340226 104.196063) (xy 228.196063 104.340226) (xy 228.082795 104.509744) + (xy 228.004774 104.698102) (xy 227.965 104.898061) (xy 227.965 105.101939) (xy 228.004774 105.301898) (xy 228.082795 105.490256) + (xy 228.196063 105.659774) (xy 228.340226 105.803937) (xy 228.509744 105.917205) (xy 228.698102 105.995226) (xy 228.898061 106.035) + (xy 229.101939 106.035) (xy 229.301898 105.995226) (xy 229.490256 105.917205) (xy 229.508412 105.905074) (xy 229.519187 106.014483) + (xy 229.555497 106.134181) (xy 229.614462 106.244495) (xy 229.693814 106.341186) (xy 229.790505 106.420538) (xy 229.900819 106.479503) + (xy 230.020517 106.515813) (xy 230.144999 106.528073) (xy 232.144999 106.528073) (xy 232.269481 106.515813) (xy 232.340001 106.494421) + (xy 232.340001 126.84) (xy 201.101746 126.84) (xy 201.113072 126.725) (xy 201.11 126.53575) (xy 200.95125 126.377) + (xy 200.1645 126.377) (xy 200.1645 126.397) (xy 199.9105 126.397) (xy 199.9105 126.377) (xy 199.8905 126.377) + (xy 199.8905 126.123) (xy 199.9105 126.123) (xy 199.9105 126.103) (xy 200.1645 126.103) (xy 200.1645 126.123) + (xy 200.95125 126.123) (xy 201.11 125.96425) (xy 201.113072 125.775) (xy 201.100812 125.650518) (xy 201.064502 125.53082) + (xy 201.005537 125.420506) (xy 201.003206 125.417665) (xy 201.04785 125.334142) (xy 201.096608 125.173408) (xy 201.113072 125.00625) + (xy 201.113072 124.49375) (xy 201.096608 124.326592) (xy 201.04785 124.165858) (xy 200.968671 124.017725) (xy 200.862115 123.887885) + (xy 200.7975 123.834857) (xy 200.7975 123.288072) (xy 200.95 123.288072) (xy 201.074482 123.275812) (xy 201.19418 123.239502) + (xy 201.304494 123.180537) (xy 201.401185 123.101185) (xy 201.476018 123.01) (xy 207.048677 123.01) (xy 207.085999 123.013676) + (xy 207.094079 123.01288) (xy 207.461928 123.380729) (xy 207.461928 123.919) (xy 207.478992 124.092254) (xy 207.529528 124.25885) + (xy 207.611595 124.412386) (xy 207.722038 124.546962) (xy 207.856614 124.657405) (xy 208.01015 124.739472) (xy 208.176746 124.790008) + (xy 208.35 124.807072) (xy 208.85 124.807072) (xy 209.023254 124.790008) (xy 209.18985 124.739472) (xy 209.343386 124.657405) + (xy 209.477962 124.546962) (xy 209.483342 124.540406) (xy 209.548815 124.620185) (xy 209.645506 124.699537) (xy 209.75582 124.758502) + (xy 209.875518 124.794812) (xy 210 124.807072) (xy 210.21425 124.804) (xy 210.373 124.64525) (xy 210.373 123.571) + (xy 210.627 123.571) (xy 210.627 124.64525) (xy 210.78575 124.804) (xy 211 124.807072) (xy 211.124482 124.794812) + (xy 211.24418 124.758502) (xy 211.354494 124.699537) (xy 211.451185 124.620185) (xy 211.530537 124.523494) (xy 211.589502 124.41318) + (xy 211.625812 124.293482) (xy 211.638072 124.169) (xy 211.635 123.72975) (xy 211.47625 123.571) (xy 210.627 123.571) + (xy 210.373 123.571) (xy 210.353 123.571) (xy 210.353 123.317) (xy 210.373 123.317) (xy 210.373 123.297) + (xy 210.627 123.297) (xy 210.627 123.317) (xy 211.47625 123.317) (xy 211.635 123.15825) (xy 211.638072 122.719) + (xy 211.625812 122.594518) (xy 211.589502 122.47482) (xy 211.530537 122.364506) (xy 211.451185 122.267815) (xy 211.354494 122.188463) + (xy 211.24418 122.129498) (xy 211.124482 122.093188) (xy 211.08946 122.089739) (xy 211.167606 122.025606) (xy 211.271831 121.898608) + (xy 211.349278 121.753716) (xy 211.396969 121.5965) (xy 211.413072 121.433) (xy 211.413072 120.883) (xy 211.396969 120.7195) + (xy 211.349278 120.562284) (xy 211.271831 120.417392) (xy 211.190322 120.318073) (xy 211.425001 120.318073) (xy 211.549483 120.305813) + (xy 211.669181 120.269503) (xy 211.779495 120.210538) (xy 211.876186 120.131186) (xy 211.955538 120.034495) (xy 212.014503 119.924181) + (xy 212.050813 119.804483) (xy 212.063073 119.680001) (xy 212.063073 119.030001) (xy 212.050813 118.905519) (xy 212.014503 118.785821) + (xy 211.955538 118.675507) (xy 211.876186 118.578816) (xy 211.779495 118.499464) (xy 211.669181 118.440499) (xy 211.55216 118.405001) + (xy 211.669181 118.369503) (xy 211.779495 118.310538) (xy 211.876186 118.231186) (xy 211.955538 118.134495) (xy 212.014503 118.024181) + (xy 212.050813 117.904483) (xy 212.063073 117.780001) (xy 212.063073 117.130001) (xy 212.050813 117.005519) (xy 212.014503 116.885821) + (xy 211.955538 116.775507) (xy 211.876186 116.678816) (xy 211.818968 116.631858) (xy 211.885226 116.471898) (xy 211.925 116.271939) + (xy 211.925 116.068061) (xy 211.891524 115.899763) (xy 211.922962 115.873962) (xy 212.033405 115.739386) (xy 212.115472 115.58585) + (xy 212.166008 115.419254) (xy 212.183072 115.246) (xy 212.183072 114.746) (xy 212.166008 114.572746) (xy 212.115472 114.40615) + (xy 212.033405 114.252614) (xy 211.922962 114.118038) (xy 211.916406 114.112658) (xy 211.996185 114.047185) (xy 212.075537 113.950494) + (xy 212.134502 113.84018) (xy 212.170812 113.720482) (xy 212.183072 113.596) (xy 212.18 113.38175) (xy 212.02125 113.223) + (xy 210.947 113.223) (xy 210.947 113.243) (xy 210.693 113.243) (xy 210.693 113.223) (xy 209.61875 113.223) + (xy 209.46 113.38175) (xy 209.456928 113.596) (xy 209.469188 113.720482) (xy 209.505498 113.84018) (xy 209.564463 113.950494) + (xy 209.643815 114.047185) (xy 209.723594 114.112658) (xy 209.717038 114.118038) (xy 209.606595 114.252614) (xy 209.524528 114.40615) + (xy 209.473992 114.572746) (xy 209.456928 114.746) (xy 209.456928 115.246) (xy 209.473992 115.419254) (xy 209.524528 115.58585) + (xy 209.606595 115.739386) (xy 209.717038 115.873962) (xy 209.851614 115.984405) (xy 209.869716 115.99408) (xy 209.855 116.068061) + (xy 209.855 116.271939) (xy 209.894774 116.471898) (xy 209.96357 116.637984) (xy 209.913816 116.678816) (xy 209.834464 116.775507) + (xy 209.795001 116.849336) (xy 209.755538 116.775507) (xy 209.676186 116.678816) (xy 209.579495 116.599464) (xy 209.469181 116.540499) + (xy 209.349483 116.504189) (xy 209.225001 116.491929) (xy 208.165001 116.491929) (xy 208.040519 116.504189) (xy 207.920821 116.540499) + (xy 207.810507 116.599464) (xy 207.713816 116.678816) (xy 207.666812 116.73609) (xy 207.622754 116.749455) (xy 207.490725 116.820027) + (xy 207.375 116.915) (xy 207.351202 116.943998) (xy 206.498998 117.796203) (xy 206.47 117.820001) (xy 206.446202 117.848999) + (xy 206.446201 117.849) (xy 206.375026 117.935726) (xy 206.304454 118.067756) (xy 206.281272 118.14418) (xy 206.260998 118.211016) + (xy 206.254119 118.280861) (xy 206.246324 118.360002) (xy 206.250001 118.397334) (xy 206.25 121.49) (xy 201.476018 121.49) (xy 201.401185 121.398815) (xy 201.304494 121.319463) (xy 201.19418 121.260498) (xy 201.074482 121.224188) (xy 200.95 121.211928) (xy 200.05 121.211928) (xy 199.925518 121.224188) (xy 199.80582 121.260498) (xy 199.695506 121.319463) (xy 199.598815 121.398815) (xy 199.588072 121.411905) (xy 199.588072 120.9) (xy 199.57882 120.806062) (xy 199.65 120.813072) (xy 199.80175 120.81) @@ -2575,162 +2353,39 @@ (xy 203.912115 120.562115) (xy 204.018671 120.432275) (xy 204.09785 120.284142) (xy 204.146608 120.123408) (xy 204.163072 119.95625) (xy 204.163072 119.44375) (xy 204.146608 119.276592) (xy 204.09785 119.115858) (xy 204.018671 118.967725) (xy 203.963091 118.9) (xy 204.018671 118.832275) (xy 204.09785 118.684142) (xy 204.146608 118.523408) (xy 204.163072 118.35625) (xy 204.163072 117.84375) - (xy 204.146608 117.676592) (xy 204.09785 117.515858) (xy 204.054631 117.435) (xy 208.361928 117.435) (xy 208.365 119.04925) - (xy 208.52375 119.208) (xy 209.373 119.208) (xy 209.373 116.95875) (xy 209.627 116.95875) (xy 209.627 119.208) - (xy 210.47625 119.208) (xy 210.635 119.04925) (xy 210.638072 117.435) (xy 210.625812 117.310518) (xy 210.594183 117.20625) - (xy 210.886928 117.20625) (xy 210.886928 117.64375) (xy 210.903392 117.810908) (xy 210.95215 117.971642) (xy 211.031329 118.119775) - (xy 211.107426 118.2125) (xy 211.031329 118.305225) (xy 210.95215 118.453358) (xy 210.903392 118.614092) (xy 210.886928 118.78125) - (xy 210.886928 119.21875) (xy 210.903392 119.385908) (xy 210.95215 119.546642) (xy 211.031329 119.694775) (xy 211.137885 119.824615) - (xy 211.240001 119.908419) (xy 211.24 122.912677) (xy 211.236324 122.95) (xy 211.24 122.987322) (xy 211.24 122.987332) - (xy 211.250997 123.098985) (xy 211.289981 123.227501) (xy 211.294454 123.242246) (xy 211.365026 123.374276) (xy 211.393414 123.408866) - (xy 211.459999 123.490001) (xy 211.489002 123.513803) (xy 211.93269 123.957492) (xy 211.95215 124.021642) (xy 212.031329 124.169775) - (xy 212.137885 124.299615) (xy 212.267725 124.406171) (xy 212.415858 124.48535) (xy 212.576592 124.534108) (xy 212.74375 124.550572) - (xy 213.25625 124.550572) (xy 213.423408 124.534108) (xy 213.584142 124.48535) (xy 213.732275 124.406171) (xy 213.862115 124.299615) - (xy 213.91539 124.234699) (xy 214.023986 124.224003) (xy 214.167247 124.180546) (xy 214.299276 124.109974) (xy 214.415001 124.015001) - (xy 214.438803 123.985998) (xy 214.761729 123.663072) (xy 215.18 123.663072) (xy 215.304482 123.650812) (xy 215.42418 123.614502) - (xy 215.534494 123.555537) (xy 215.631185 123.476185) (xy 215.710537 123.379494) (xy 215.75 123.305665) (xy 215.789463 123.379494) - (xy 215.868815 123.476185) (xy 215.965506 123.555537) (xy 216.07582 123.614502) (xy 216.195518 123.650812) (xy 216.32 123.663072) - (xy 217.300824 123.663072) (xy 217.332795 123.740256) (xy 217.446063 123.909774) (xy 217.590226 124.053937) (xy 217.759744 124.167205) - (xy 217.948102 124.245226) (xy 218.148061 124.285) (xy 218.351939 124.285) (xy 218.551898 124.245226) (xy 218.740256 124.167205) - (xy 218.909774 124.053937) (xy 219.053937 123.909774) (xy 219.167205 123.740256) (xy 219.245226 123.551898) (xy 219.285 123.351939) - (xy 219.285 123.289802) (xy 219.323502 123.2513) (xy 219.352501 123.227501) (xy 219.401951 123.167246) (xy 219.447474 123.111777) - (xy 219.493227 123.02618) (xy 219.507275 123.018671) (xy 219.52893 123.0009) (xy 219.595506 123.055537) (xy 219.70582 123.114502) - (xy 219.825518 123.150812) (xy 219.95 123.163072) (xy 220.10175 123.16) (xy 220.2605 123.00125) (xy 220.2605 122.177) - (xy 220.5145 122.177) (xy 220.5145 123.00125) (xy 220.67325 123.16) (xy 220.825 123.163072) (xy 220.930905 123.152642) - (xy 220.910498 123.19082) (xy 220.874188 123.310518) (xy 220.861928 123.435) (xy 220.865 124.21425) (xy 221.02375 124.373) - (xy 221.873 124.373) (xy 221.873 122.95875) (xy 222.127 122.95875) (xy 222.127 124.373) (xy 222.97625 124.373) - (xy 223.135 124.21425) (xy 223.138072 123.435) (xy 223.125812 123.310518) (xy 223.089502 123.19082) (xy 223.030537 123.080506) - (xy 222.951185 122.983815) (xy 222.854494 122.904463) (xy 222.74418 122.845498) (xy 222.624482 122.809188) (xy 222.5 122.796928) - (xy 222.28575 122.8) (xy 222.127 122.95875) (xy 221.873 122.95875) (xy 221.71425 122.8) (xy 221.5 122.796928) - (xy 221.394095 122.807358) (xy 221.414502 122.76918) (xy 221.450812 122.649482) (xy 221.463072 122.525) (xy 221.46 122.33575) - (xy 221.30125 122.177) (xy 220.5145 122.177) (xy 220.2605 122.177) (xy 220.2405 122.177) (xy 220.2405 121.923) - (xy 220.2605 121.923) (xy 220.2605 121.46375) (xy 220.273 121.45125) (xy 220.273 121.09875) (xy 220.5145 121.09875) - (xy 220.5145 121.923) (xy 221.30125 121.923) (xy 221.36625 121.858) (xy 221.375518 121.860812) (xy 221.5 121.873072) - (xy 221.71425 121.87) (xy 221.873 121.71125) (xy 221.873 119.462) (xy 222.127 119.462) (xy 222.127 121.71125) - (xy 222.28575 121.87) (xy 222.5 121.873072) (xy 222.624482 121.860812) (xy 222.74418 121.824502) (xy 222.854494 121.765537) - (xy 222.951185 121.686185) (xy 223.030537 121.589494) (xy 223.089502 121.47918) (xy 223.125812 121.359482) (xy 223.138072 121.235) - (xy 223.135 119.62075) (xy 222.97625 119.462) (xy 222.127 119.462) (xy 221.873 119.462) (xy 221.131261 119.462) - (xy 221.08168 119.435498) (xy 220.961982 119.399188) (xy 220.8375 119.386928) (xy 220.68575 119.39) (xy 220.527 119.54875) - (xy 220.527 120.373) (xy 220.547 120.373) (xy 220.547 120.627) (xy 220.527 120.627) (xy 220.527 121.08625) - (xy 220.5145 121.09875) (xy 220.273 121.09875) (xy 220.273 120.627) (xy 220.253 120.627) (xy 220.253 120.373) - (xy 220.273 120.373) (xy 220.273 119.54875) (xy 220.11425 119.39) (xy 219.9625 119.386928) (xy 219.838018 119.399188) - (xy 219.71832 119.435498) (xy 219.608006 119.494463) (xy 219.54143 119.5491) (xy 219.519775 119.531329) (xy 219.371642 119.45215) - (xy 219.210908 119.403392) (xy 219.04375 119.386928) (xy 218.60625 119.386928) (xy 218.439092 119.403392) (xy 218.278358 119.45215) - (xy 218.130225 119.531329) (xy 218.000385 119.637885) (xy 217.916582 119.74) (xy 217.712331 119.74) (xy 217.718671 119.732275) - (xy 217.79785 119.584142) (xy 217.846608 119.423408) (xy 217.863072 119.25625) (xy 217.863072 118.74375) (xy 217.846608 118.576592) - (xy 217.79785 118.415858) (xy 217.718671 118.267725) (xy 217.612115 118.137885) (xy 217.596414 118.125) (xy 217.612115 118.112115) - (xy 217.718671 117.982275) (xy 217.79785 117.834142) (xy 217.846608 117.673408) (xy 217.863072 117.50625) (xy 217.863072 117.435) - (xy 220.861928 117.435) (xy 220.865 119.04925) (xy 221.02375 119.208) (xy 221.873 119.208) (xy 221.873 116.95875) - (xy 222.127 116.95875) (xy 222.127 119.208) (xy 222.97625 119.208) (xy 223.135 119.04925) (xy 223.138072 117.435) - (xy 223.125812 117.310518) (xy 223.089502 117.19082) (xy 223.030537 117.080506) (xy 222.951185 116.983815) (xy 222.854494 116.904463) - (xy 222.74418 116.845498) (xy 222.624482 116.809188) (xy 222.5 116.796928) (xy 222.28575 116.8) (xy 222.127 116.95875) - (xy 221.873 116.95875) (xy 221.71425 116.8) (xy 221.5 116.796928) (xy 221.375518 116.809188) (xy 221.25582 116.845498) - (xy 221.145506 116.904463) (xy 221.048815 116.983815) (xy 220.969463 117.080506) (xy 220.910498 117.19082) (xy 220.874188 117.310518) - (xy 220.861928 117.435) (xy 217.863072 117.435) (xy 217.863072 116.99375) (xy 217.846608 116.826592) (xy 217.79785 116.665858) - (xy 217.753206 116.582335) (xy 217.755537 116.579494) (xy 217.814502 116.46918) (xy 217.850812 116.349482) (xy 217.863072 116.225) - (xy 217.86 116.03575) (xy 217.70125 115.877) (xy 216.9145 115.877) (xy 216.9145 115.897) (xy 216.6605 115.897) - (xy 216.6605 115.877) (xy 216.6405 115.877) (xy 216.6405 115.623) (xy 216.6605 115.623) (xy 216.6605 114.79875) - (xy 216.9145 114.79875) (xy 216.9145 115.623) (xy 217.70125 115.623) (xy 217.86 115.46425) (xy 217.863072 115.275) - (xy 217.859133 115.235) (xy 220.861928 115.235) (xy 220.874188 115.359482) (xy 220.910498 115.47918) (xy 220.969463 115.589494) - (xy 221.048815 115.686185) (xy 221.145506 115.765537) (xy 221.25582 115.824502) (xy 221.375518 115.860812) (xy 221.5 115.873072) - (xy 221.71425 115.87) (xy 221.873 115.71125) (xy 221.873 114.297) (xy 222.127 114.297) (xy 222.127 115.71125) - (xy 222.28575 115.87) (xy 222.5 115.873072) (xy 222.624482 115.860812) (xy 222.74418 115.824502) (xy 222.854494 115.765537) - (xy 222.951185 115.686185) (xy 223.030537 115.589494) (xy 223.089502 115.47918) (xy 223.125812 115.359482) (xy 223.138072 115.235) - (xy 223.135 114.45575) (xy 222.97625 114.297) (xy 222.127 114.297) (xy 221.873 114.297) (xy 221.02375 114.297) - (xy 220.865 114.45575) (xy 220.861928 115.235) (xy 217.859133 115.235) (xy 217.850812 115.150518) (xy 217.814502 115.03082) - (xy 217.755537 114.920506) (xy 217.676185 114.823815) (xy 217.579494 114.744463) (xy 217.46918 114.685498) (xy 217.349482 114.649188) - (xy 217.225 114.636928) (xy 217.07325 114.64) (xy 216.9145 114.79875) (xy 216.6605 114.79875) (xy 216.50175 114.64) - (xy 216.35 114.636928) (xy 216.225518 114.649188) (xy 216.10582 114.685498) (xy 215.995506 114.744463) (xy 215.92893 114.7991) - (xy 215.907275 114.781329) (xy 215.759142 114.70215) (xy 215.598408 114.653392) (xy 215.43125 114.636928) (xy 214.99375 114.636928) - (xy 214.970822 114.639186) (xy 214.917205 114.509744) (xy 214.803937 114.340226) (xy 214.659774 114.196063) (xy 214.490256 114.082795) - (xy 214.301898 114.004774) (xy 214.101939 113.965) (xy 213.898061 113.965) (xy 213.698102 114.004774) (xy 213.509744 114.082795) - (xy 213.340226 114.196063) (xy 213.196063 114.340226) (xy 213.082795 114.509744) (xy 213.004774 114.698102) (xy 212.965 114.898061) - (xy 212.965 115.101939) (xy 213.004774 115.301898) (xy 213.082795 115.490256) (xy 213.196063 115.659774) (xy 213.340226 115.803937) - (xy 213.509744 115.917205) (xy 213.698102 115.995226) (xy 213.898061 116.035) (xy 213.960198 116.035) (xy 214.177248 116.252049) - (xy 214.20215 116.334142) (xy 214.25189 116.427199) (xy 214.173408 116.403392) (xy 214.00625 116.386928) (xy 213.49375 116.386928) - (xy 213.326592 116.403392) (xy 213.165858 116.45215) (xy 213.017725 116.531329) (xy 212.890876 116.63543) (xy 212.862115 116.600385) - (xy 212.732275 116.493829) (xy 212.584142 116.41465) (xy 212.423408 116.365892) (xy 212.25625 116.349428) (xy 211.74375 116.349428) - (xy 211.576592 116.365892) (xy 211.415858 116.41465) (xy 211.267725 116.493829) (xy 211.137885 116.600385) (xy 211.031329 116.730225) - (xy 210.95215 116.878358) (xy 210.903392 117.039092) (xy 210.886928 117.20625) (xy 210.594183 117.20625) (xy 210.589502 117.19082) - (xy 210.530537 117.080506) (xy 210.451185 116.983815) (xy 210.354494 116.904463) (xy 210.24418 116.845498) (xy 210.124482 116.809188) - (xy 210 116.796928) (xy 209.78575 116.8) (xy 209.627 116.95875) (xy 209.373 116.95875) (xy 209.21425 116.8) - (xy 209 116.796928) (xy 208.875518 116.809188) (xy 208.75582 116.845498) (xy 208.645506 116.904463) (xy 208.548815 116.983815) - (xy 208.469463 117.080506) (xy 208.410498 117.19082) (xy 208.374188 117.310518) (xy 208.361928 117.435) (xy 204.054631 117.435) - (xy 204.018671 117.367725) (xy 203.940833 117.272878) (xy 204.053937 117.159774) (xy 204.167205 116.990256) (xy 204.245226 116.801898) - (xy 204.285 116.601939) (xy 204.285 116.398061) (xy 204.245226 116.198102) (xy 204.167205 116.009744) (xy 204.053937 115.840226) - (xy 203.909774 115.696063) (xy 203.740256 115.582795) (xy 203.551898 115.504774) (xy 203.351939 115.465) (xy 203.148061 115.465) - (xy 202.948102 115.504774) (xy 202.759744 115.582795) (xy 202.590226 115.696063) (xy 202.446063 115.840226) (xy 202.332795 116.009744) - (xy 202.26 116.185485) (xy 202.26 115.235) (xy 208.361928 115.235) (xy 208.374188 115.359482) (xy 208.410498 115.47918) - (xy 208.469463 115.589494) (xy 208.548815 115.686185) (xy 208.645506 115.765537) (xy 208.75582 115.824502) (xy 208.875518 115.860812) - (xy 209 115.873072) (xy 209.21425 115.87) (xy 209.373 115.71125) (xy 209.373 114.297) (xy 209.627 114.297) - (xy 209.627 115.71125) (xy 209.78575 115.87) (xy 210 115.873072) (xy 210.124482 115.860812) (xy 210.24418 115.824502) - (xy 210.354494 115.765537) (xy 210.451185 115.686185) (xy 210.530537 115.589494) (xy 210.589502 115.47918) (xy 210.625812 115.359482) - (xy 210.638072 115.235) (xy 210.635 114.45575) (xy 210.47625 114.297) (xy 209.627 114.297) (xy 209.373 114.297) - (xy 208.52375 114.297) (xy 208.365 114.45575) (xy 208.361928 115.235) (xy 202.26 115.235) (xy 202.26 114.322313) - (xy 202.273254 114.321008) (xy 202.43985 114.270472) (xy 202.593386 114.188405) (xy 202.727962 114.077962) (xy 202.838405 113.943386) - (xy 202.892914 113.841407) (xy 202.893198 113.841795) (xy 203.107954 114.038664) (xy 203.356991 114.189854) (xy 203.630739 114.289554) - (xy 203.64311 114.291476) (xy 203.873 114.170155) (xy 203.873 112.827) (xy 204.127 112.827) (xy 204.127 114.170155) - (xy 204.35689 114.291476) (xy 204.369261 114.289554) (xy 204.643009 114.189854) (xy 204.892046 114.038664) (xy 205.106802 113.841795) - (xy 205.279025 113.606812) (xy 205.402096 113.342745) (xy 205.471285 113.059742) (xy 205.327232 112.827) (xy 204.127 112.827) - (xy 203.873 112.827) (xy 203.853 112.827) (xy 203.853 112.573) (xy 203.873 112.573) (xy 203.873 111.229845) - (xy 204.127 111.229845) (xy 204.127 112.573) (xy 205.327232 112.573) (xy 205.471285 112.340258) (xy 205.413769 112.105) - (xy 208.361928 112.105) (xy 208.365 112.31925) (xy 208.52375 112.478) (xy 208.88758 112.478) (xy 208.875518 112.479188) - (xy 208.75582 112.515498) (xy 208.645506 112.574463) (xy 208.548815 112.653815) (xy 208.469463 112.750506) (xy 208.428375 112.827375) - (xy 208.365 112.89075) (xy 208.361928 113.105) (xy 208.365 113.88425) (xy 208.52375 114.043) (xy 209.373 114.043) - (xy 209.373 113.21742) (xy 209.374188 113.229482) (xy 209.410498 113.34918) (xy 209.469463 113.459494) (xy 209.548815 113.556185) - (xy 209.627 113.62035) (xy 209.627 114.043) (xy 210.47625 114.043) (xy 210.635 113.88425) (xy 210.63595 113.6433) - (xy 210.698 113.58125) (xy 210.698 112.732) (xy 210.952 112.732) (xy 210.952 113.58125) (xy 211.11075 113.74) - (xy 211.65 113.743072) (xy 211.774482 113.730812) (xy 211.89418 113.694502) (xy 212.004494 113.635537) (xy 212.101185 113.556185) - (xy 212.180537 113.459494) (xy 212.239502 113.34918) (xy 212.275812 113.229482) (xy 212.288072 113.105) (xy 213.211928 113.105) - (xy 213.224188 113.229482) (xy 213.260498 113.34918) (xy 213.319463 113.459494) (xy 213.398815 113.556185) (xy 213.495506 113.635537) - (xy 213.60582 113.694502) (xy 213.725518 113.730812) (xy 213.85 113.743072) (xy 215.46425 113.74) (xy 215.623 113.58125) - (xy 215.623 112.732) (xy 215.877 112.732) (xy 215.877 113.58125) (xy 216.03575 113.74) (xy 217.65 113.743072) - (xy 217.774482 113.730812) (xy 217.89418 113.694502) (xy 218.004494 113.635537) (xy 218.101185 113.556185) (xy 218.180537 113.459494) - (xy 218.239502 113.34918) (xy 218.275812 113.229482) (xy 218.288072 113.105) (xy 219.211928 113.105) (xy 219.224188 113.229482) - (xy 219.260498 113.34918) (xy 219.319463 113.459494) (xy 219.398815 113.556185) (xy 219.495506 113.635537) (xy 219.60582 113.694502) - (xy 219.725518 113.730812) (xy 219.85 113.743072) (xy 220.38925 113.74) (xy 220.548 113.58125) (xy 220.548 112.732) - (xy 219.37375 112.732) (xy 219.215 112.89075) (xy 219.211928 113.105) (xy 218.288072 113.105) (xy 218.285 112.89075) - (xy 218.12625 112.732) (xy 215.877 112.732) (xy 215.623 112.732) (xy 213.37375 112.732) (xy 213.215 112.89075) - (xy 213.211928 113.105) (xy 212.288072 113.105) (xy 212.285 112.89075) (xy 212.12625 112.732) (xy 210.952 112.732) - (xy 210.698 112.732) (xy 210.51535 112.732) (xy 210.451185 112.653815) (xy 210.354494 112.574463) (xy 210.24418 112.515498) - (xy 210.124482 112.479188) (xy 210.11242 112.478) (xy 210.698 112.478) (xy 210.698 111.62875) (xy 210.952 111.62875) - (xy 210.952 112.478) (xy 212.12625 112.478) (xy 212.285 112.31925) (xy 212.288072 112.105) (xy 213.211928 112.105) - (xy 213.215 112.31925) (xy 213.37375 112.478) (xy 215.623 112.478) (xy 215.623 111.62875) (xy 215.877 111.62875) - (xy 215.877 112.478) (xy 218.12625 112.478) (xy 218.285 112.31925) (xy 218.288072 112.105) (xy 219.211928 112.105) - (xy 219.215 112.31925) (xy 219.37375 112.478) (xy 220.548 112.478) (xy 220.548 111.62875) (xy 220.802 111.62875) - (xy 220.802 112.478) (xy 221.38758 112.478) (xy 221.375518 112.479188) (xy 221.25582 112.515498) (xy 221.145506 112.574463) - (xy 221.048815 112.653815) (xy 220.98465 112.732) (xy 220.802 112.732) (xy 220.802 113.58125) (xy 220.86405 113.6433) - (xy 220.865 113.88425) (xy 221.02375 114.043) (xy 221.873 114.043) (xy 221.873 113.62035) (xy 221.951185 113.556185) - (xy 222.030537 113.459494) (xy 222.089502 113.34918) (xy 222.125812 113.229482) (xy 222.127 113.21742) (xy 222.127 114.043) - (xy 222.97625 114.043) (xy 223.135 113.88425) (xy 223.138072 113.105) (xy 223.135 112.89075) (xy 223.071625 112.827375) - (xy 223.030537 112.750506) (xy 222.951185 112.653815) (xy 222.854494 112.574463) (xy 222.74418 112.515498) (xy 222.624482 112.479188) - (xy 222.61242 112.478) (xy 222.97625 112.478) (xy 223.135 112.31925) (xy 223.138072 112.105) (xy 223.125812 111.980518) - (xy 223.089502 111.86082) (xy 223.030537 111.750506) (xy 222.951185 111.653815) (xy 222.854494 111.574463) (xy 222.74418 111.515498) - (xy 222.624482 111.479188) (xy 222.5 111.466928) (xy 222.28575 111.47) (xy 222.127 111.62875) (xy 222.127 111.99258) - (xy 222.125812 111.980518) (xy 222.089502 111.86082) (xy 222.030537 111.750506) (xy 221.951185 111.653815) (xy 221.854494 111.574463) - (xy 221.777625 111.533375) (xy 221.71425 111.47) (xy 221.5 111.466928) (xy 220.96075 111.47) (xy 220.802 111.62875) - (xy 220.548 111.62875) (xy 220.38925 111.47) (xy 219.85 111.466928) (xy 219.725518 111.479188) (xy 219.60582 111.515498) - (xy 219.495506 111.574463) (xy 219.398815 111.653815) (xy 219.319463 111.750506) (xy 219.260498 111.86082) (xy 219.224188 111.980518) - (xy 219.211928 112.105) (xy 218.288072 112.105) (xy 218.275812 111.980518) (xy 218.239502 111.86082) (xy 218.180537 111.750506) - (xy 218.101185 111.653815) (xy 218.004494 111.574463) (xy 217.89418 111.515498) (xy 217.774482 111.479188) (xy 217.65 111.466928) - (xy 216.03575 111.47) (xy 215.877 111.62875) (xy 215.623 111.62875) (xy 215.46425 111.47) (xy 213.85 111.466928) - (xy 213.725518 111.479188) (xy 213.60582 111.515498) (xy 213.495506 111.574463) (xy 213.398815 111.653815) (xy 213.319463 111.750506) - (xy 213.260498 111.86082) (xy 213.224188 111.980518) (xy 213.211928 112.105) (xy 212.288072 112.105) (xy 212.275812 111.980518) - (xy 212.239502 111.86082) (xy 212.180537 111.750506) (xy 212.101185 111.653815) (xy 212.004494 111.574463) (xy 211.89418 111.515498) - (xy 211.774482 111.479188) (xy 211.65 111.466928) (xy 211.11075 111.47) (xy 210.952 111.62875) (xy 210.698 111.62875) - (xy 210.53925 111.47) (xy 210 111.466928) (xy 209.78575 111.47) (xy 209.722375 111.533375) (xy 209.645506 111.574463) - (xy 209.548815 111.653815) (xy 209.469463 111.750506) (xy 209.410498 111.86082) (xy 209.374188 111.980518) (xy 209.373 111.99258) - (xy 209.373 111.62875) (xy 209.21425 111.47) (xy 209 111.466928) (xy 208.875518 111.479188) (xy 208.75582 111.515498) - (xy 208.645506 111.574463) (xy 208.548815 111.653815) (xy 208.469463 111.750506) (xy 208.410498 111.86082) (xy 208.374188 111.980518) - (xy 208.361928 112.105) (xy 205.413769 112.105) (xy 205.402096 112.057255) (xy 205.279025 111.793188) (xy 205.106802 111.558205) - (xy 204.892046 111.361336) (xy 204.643009 111.210146) (xy 204.369261 111.110446) (xy 204.35689 111.108524) (xy 204.127 111.229845) - (xy 203.873 111.229845) (xy 203.64311 111.108524) (xy 203.630739 111.110446) (xy 203.356991 111.210146) (xy 203.107954 111.361336) - (xy 202.893198 111.558205) (xy 202.892914 111.558593) (xy 202.838405 111.456614) (xy 202.727962 111.322038) (xy 202.593386 111.211595) - (xy 202.43985 111.129528) (xy 202.273254 111.078992) (xy 202.1 111.061928) (xy 200.9 111.061928) (xy 200.726746 111.078992) - (xy 200.56015 111.129528) (xy 200.406614 111.211595) (xy 200.272038 111.322038) (xy 200.161595 111.456614) (xy 200.079528 111.61015) - (xy 200.028992 111.776746) (xy 200.011928 111.95) (xy 200.011928 113.45) (xy 200.028992 113.623254) (xy 200.079528 113.78985) - (xy 200.161595 113.943386) (xy 200.272038 114.077962) (xy 200.406614 114.188405) (xy 200.56015 114.270472) (xy 200.726746 114.321008) - (xy 200.74 114.322313) (xy 200.740001 117.195115) (xy 200.687885 117.237885) (xy 200.604082 117.34) (xy 199.674822 117.34) + (xy 204.146608 117.676592) (xy 204.099872 117.522522) (xy 204.218888 117.442998) (xy 204.363051 117.298835) (xy 204.476319 117.129317) + (xy 204.55434 116.940959) (xy 204.594114 116.741) (xy 204.594114 116.537122) (xy 204.55434 116.337163) (xy 204.476319 116.148805) + (xy 204.363051 115.979287) (xy 204.315711 115.931947) (xy 207.651657 112.596) (xy 209.456928 112.596) (xy 209.46 112.81025) + (xy 209.61875 112.969) (xy 210.693 112.969) (xy 210.693 112.11975) (xy 210.947 112.11975) (xy 210.947 112.969) + (xy 212.02125 112.969) (xy 212.18 112.81025) (xy 212.183072 112.596) (xy 212.170812 112.471518) (xy 212.134502 112.35182) + (xy 212.075537 112.241506) (xy 211.996185 112.144815) (xy 211.899494 112.065463) (xy 211.78918 112.006498) (xy 211.669482 111.970188) + (xy 211.545 111.957928) (xy 211.10575 111.961) (xy 210.947 112.11975) (xy 210.693 112.11975) (xy 210.53425 111.961) + (xy 210.095 111.957928) (xy 209.970518 111.970188) (xy 209.85082 112.006498) (xy 209.740506 112.065463) (xy 209.643815 112.144815) + (xy 209.564463 112.241506) (xy 209.505498 112.35182) (xy 209.469188 112.471518) (xy 209.456928 112.596) (xy 207.651657 112.596) + (xy 208.381915 111.865742) (xy 214.214715 111.865742) (xy 214.283904 112.148745) (xy 214.406975 112.412812) (xy 214.579198 112.647795) + (xy 214.793954 112.844664) (xy 215.042991 112.995854) (xy 215.316739 113.095554) (xy 215.32911 113.097476) (xy 215.559 112.976155) + (xy 215.559 111.633) (xy 214.358768 111.633) (xy 214.214715 111.865742) (xy 208.381915 111.865742) (xy 209.734657 110.513) + (xy 214.470144 110.513) (xy 214.406975 110.599188) (xy 214.283904 110.863255) (xy 214.214715 111.146258) (xy 214.358768 111.379) + (xy 215.559 111.379) (xy 215.559 111.359) (xy 215.813 111.359) (xy 215.813 111.379) (xy 215.833 111.379) + (xy 215.833 111.633) (xy 215.813 111.633) (xy 215.813 112.976155) (xy 216.04289 113.097476) (xy 216.055261 113.095554) + (xy 216.329009 112.995854) (xy 216.578046 112.844664) (xy 216.792802 112.647795) (xy 216.793086 112.647407) (xy 216.847595 112.749386) + (xy 216.958038 112.883962) (xy 217.092614 112.994405) (xy 217.24615 113.076472) (xy 217.412746 113.127008) (xy 217.586 113.144072) + (xy 218.786 113.144072) (xy 218.959254 113.127008) (xy 219.12585 113.076472) (xy 219.279386 112.994405) (xy 219.413962 112.883962) + (xy 219.524405 112.749386) (xy 219.606472 112.59585) (xy 219.657008 112.429254) (xy 219.674072 112.256) (xy 219.674072 110.781422) + (xy 227.780738 110.781422) (xy 227.780738 111.218578) (xy 227.866023 111.647335) (xy 228.033316 112.051215) (xy 228.276187 112.414697) + (xy 228.585303 112.723813) (xy 228.948785 112.966684) (xy 229.352665 113.133977) (xy 229.781422 113.219262) (xy 230.218578 113.219262) + (xy 230.647335 113.133977) (xy 231.051215 112.966684) (xy 231.414697 112.723813) (xy 231.723813 112.414697) (xy 231.966684 112.051215) + (xy 232.133977 111.647335) (xy 232.219262 111.218578) (xy 232.219262 110.781422) (xy 232.133977 110.352665) (xy 231.966684 109.948785) + (xy 231.723813 109.585303) (xy 231.414697 109.276187) (xy 231.051215 109.033316) (xy 230.647335 108.866023) (xy 230.218578 108.780738) + (xy 229.781422 108.780738) (xy 229.352665 108.866023) (xy 228.948785 109.033316) (xy 228.585303 109.276187) (xy 228.276187 109.585303) + (xy 228.033316 109.948785) (xy 227.866023 110.352665) (xy 227.780738 110.781422) (xy 219.674072 110.781422) (xy 219.674072 110.756) + (xy 219.657008 110.582746) (xy 219.606472 110.41615) (xy 219.524405 110.262614) (xy 219.413962 110.128038) (xy 219.279386 110.017595) + (xy 219.12585 109.935528) (xy 218.959254 109.884992) (xy 218.786 109.867928) (xy 217.658085 109.867928) (xy 216.990347 109.20019) + (xy 216.965764 109.170236) (xy 216.846233 109.072138) (xy 216.70986 108.999246) (xy 216.561887 108.954359) (xy 216.446561 108.943) + (xy 216.446553 108.943) (xy 216.408 108.939203) (xy 216.369447 108.943) (xy 209.448056 108.943) (xy 209.4095 108.939203) + (xy 209.370944 108.943) (xy 209.370939 108.943) (xy 209.330526 108.94698) (xy 209.255613 108.954358) (xy 209.10764 108.999246) + (xy 208.971267 109.072138) (xy 208.851736 109.170236) (xy 208.827153 109.20019) (xy 200.984685 117.042658) (xy 200.977377 117.048656) + (xy 200.965858 117.05215) (xy 200.817725 117.131329) (xy 200.687885 117.237885) (xy 200.604082 117.34) (xy 199.674822 117.34) (xy 199.637499 117.336324) (xy 199.600176 117.34) (xy 199.600167 117.34) (xy 199.488514 117.350997) (xy 199.345253 117.394454) (xy 199.213224 117.465026) (xy 199.097499 117.559999) (xy 199.073701 117.588997) (xy 198.030009 118.63269) (xy 197.965858 118.65215) (xy 197.817725 118.731329) (xy 197.687885 118.837885) (xy 197.581329 118.967725) (xy 197.50215 119.115858) (xy 197.453392 119.276592) @@ -2803,7 +2458,14 @@ (xy 169.968829 121.732275) (xy 170.075385 121.862115) (xy 170.190001 121.956177) (xy 170.190001 122.005431) (xy 170.181618 122.01231) (xy 170.11231 122.081618) (xy 170.032958 122.178309) (xy 169.978502 122.259808) (xy 169.919536 122.370125) (xy 169.882027 122.460681) (xy 169.845718 122.580377) (xy 169.826596 122.67651) (xy 169.814336 122.800991) (xy 169.814336 122.82555) (xy 169.811928 122.85) - (xy 166.66 122.85) (xy 166.66 106.930001) (xy 171.196928 106.930001) (xy 171.209188 107.054483) (xy 171.245498 107.174181) + (xy 166.66 122.85) (xy 166.66 110.781422) (xy 166.780738 110.781422) (xy 166.780738 111.218578) (xy 166.866023 111.647335) + (xy 167.033316 112.051215) (xy 167.276187 112.414697) (xy 167.585303 112.723813) (xy 167.948785 112.966684) (xy 168.352665 113.133977) + (xy 168.781422 113.219262) (xy 169.218578 113.219262) (xy 169.647335 113.133977) (xy 170.051215 112.966684) (xy 170.414697 112.723813) + (xy 170.723813 112.414697) (xy 170.966684 112.051215) (xy 171.133977 111.647335) (xy 171.219262 111.218578) (xy 171.219262 110.781422) + (xy 171.133977 110.352665) (xy 170.966684 109.948785) (xy 170.723813 109.585303) (xy 170.414697 109.276187) (xy 170.051215 109.033316) + (xy 169.647335 108.866023) (xy 169.218578 108.780738) (xy 168.781422 108.780738) (xy 168.352665 108.866023) (xy 167.948785 109.033316) + (xy 167.585303 109.276187) (xy 167.276187 109.585303) (xy 167.033316 109.948785) (xy 166.866023 110.352665) (xy 166.780738 110.781422) + (xy 166.66 110.781422) (xy 166.66 106.930001) (xy 171.196928 106.930001) (xy 171.209188 107.054483) (xy 171.245498 107.174181) (xy 171.304463 107.284495) (xy 171.383815 107.381186) (xy 171.480506 107.460538) (xy 171.59082 107.519503) (xy 171.710518 107.555813) (xy 171.835 107.568073) (xy 171.99925 107.565001) (xy 172.158 107.406251) (xy 172.158 106.057001) (xy 171.35875 106.057001) (xy 171.2 106.215751) (xy 171.196928 106.930001) (xy 166.66 106.930001) (xy 166.66 91.01124) (xy 166.776592 91.046608) @@ -2915,8 +2577,8 @@ (xy 185.090226 113.053937) (xy 185.259744 113.167205) (xy 185.448102 113.245226) (xy 185.599428 113.275326) (xy 185.599428 113.50625) (xy 185.615892 113.673408) (xy 185.66465 113.834142) (xy 185.743829 113.982275) (xy 185.850385 114.112115) (xy 185.980225 114.218671) (xy 186.128358 114.29785) (xy 186.289092 114.346608) (xy 186.45625 114.363072) (xy 186.727491 114.363072) (xy 186.72749 116.600167) - (xy 186.723814 116.63749) (xy 186.72749 116.674812) (xy 186.72749 116.674822) (xy 186.738487 116.786475) (xy 186.773042 116.900389) - (xy 186.781944 116.929736) (xy 186.852516 117.061766) (xy 186.884727 117.101015) (xy 186.947489 117.177491) (xy 186.976493 117.201294) + (xy 186.723814 116.63749) (xy 186.72749 116.674812) (xy 186.72749 116.674822) (xy 186.738487 116.786475) (xy 186.771785 116.896246) + (xy 186.781944 116.929736) (xy 186.852516 117.061766) (xy 186.883894 117.1) (xy 186.947489 117.177491) (xy 186.976493 117.201294) (xy 188.736201 118.961003) (xy 188.759999 118.990001) (xy 188.875724 119.084974) (xy 188.987518 119.14473) (xy 189.019463 119.204494) (xy 189.098815 119.301185) (xy 189.195506 119.380537) (xy 189.30582 119.439502) (xy 189.425518 119.475812) (xy 189.55 119.488072) (xy 190.45 119.488072) (xy 190.574482 119.475812) (xy 190.69418 119.439502) (xy 190.804494 119.380537) (xy 190.901185 119.301185) @@ -3152,87 +2814,85 @@ (xy 169.627 88.293001) (xy 170.97625 88.293001) (xy 171.135 88.134251) (xy 171.138072 87.970001) (xy 184.861928 87.970001) (xy 184.865 88.134251) (xy 185.02375 88.293001) (xy 186.373 88.293001) (xy 186.373 87.493751) (xy 186.627 87.493751) (xy 186.627 88.293001) (xy 187.97625 88.293001) (xy 188.135 88.134251) (xy 188.138072 87.970001) (xy 188.125812 87.845519) - (xy 188.089502 87.725821) (xy 188.030537 87.615507) (xy 187.951185 87.518816) (xy 187.854494 87.439464) (xy 187.74418 87.380499) - (xy 187.624482 87.344189) (xy 187.5 87.331929) (xy 186.78575 87.335001) (xy 186.627 87.493751) (xy 186.373 87.493751) - (xy 186.21425 87.335001) (xy 185.5 87.331929) (xy 185.375518 87.344189) (xy 185.25582 87.380499) (xy 185.145506 87.439464) - (xy 185.048815 87.518816) (xy 184.969463 87.615507) (xy 184.910498 87.725821) (xy 184.874188 87.845519) (xy 184.861928 87.970001) - (xy 171.138072 87.970001) (xy 171.125812 87.845519) (xy 171.089502 87.725821) (xy 171.030537 87.615507) (xy 170.951185 87.518816) - (xy 170.854494 87.439464) (xy 170.74418 87.380499) (xy 170.624482 87.344189) (xy 170.5 87.331929) (xy 169.78575 87.335001) - (xy 169.627 87.493751) (xy 169.373 87.493751) (xy 169.21425 87.335001) (xy 168.5 87.331929) (xy 168.375518 87.344189) - (xy 168.25582 87.380499) (xy 168.145506 87.439464) (xy 168.084454 87.489568) (xy 168.029494 87.444463) (xy 167.91918 87.385498) - (xy 167.799482 87.349188) (xy 167.675 87.336928) (xy 167.48575 87.34) (xy 167.327 87.49875) (xy 167.073 87.49875) - (xy 166.91425 87.34) (xy 166.725 87.336928) (xy 166.66 87.34333) (xy 166.66 86.625) (xy 204.161928 86.625) - (xy 204.174188 86.749482) (xy 204.210498 86.86918) (xy 204.269463 86.979494) (xy 204.348815 87.076185) (xy 204.445506 87.155537) - (xy 204.55582 87.214502) (xy 204.675518 87.250812) (xy 204.8 87.263072) (xy 205.03925 87.26) (xy 205.198 87.10125) - (xy 205.198 85.652) (xy 205.452 85.652) (xy 205.452 87.10125) (xy 205.61075 87.26) (xy 205.661928 87.260657) - (xy 205.661928 87.575) (xy 205.674188 87.699482) (xy 205.710498 87.81918) (xy 205.769463 87.929494) (xy 205.848815 88.026185) - (xy 205.945506 88.105537) (xy 206.040001 88.156046) (xy 206.04 91.962677) (xy 206.036324 92) (xy 206.04 92.037322) - (xy 206.04 92.037332) (xy 206.050997 92.148985) (xy 206.085788 92.263676) (xy 206.094454 92.292246) (xy 206.165026 92.424276) - (xy 206.17338 92.434455) (xy 206.259999 92.540001) (xy 206.289002 92.563803) (xy 207.011197 93.285998) (xy 207.034995 93.314997) - (xy 207.15072 93.40997) (xy 207.282749 93.480542) (xy 207.42601 93.523999) (xy 207.537663 93.534996) (xy 207.537673 93.534996) - (xy 207.574996 93.538672) (xy 207.612319 93.534996) (xy 207.661928 93.534996) (xy 207.661928 93.890001) (xy 207.674188 94.014483) - (xy 207.710498 94.134181) (xy 207.713609 94.140001) (xy 207.710498 94.145821) (xy 207.674188 94.265519) (xy 207.661928 94.390001) - (xy 207.665 94.854251) (xy 207.82375 95.013001) (xy 209.173 95.013001) (xy 209.173 94.993001) (xy 209.427 94.993001) - (xy 209.427 95.013001) (xy 210.77625 95.013001) (xy 210.935 94.854251) (xy 210.938072 94.390001) (xy 210.925812 94.265519) - (xy 210.889502 94.145821) (xy 210.886391 94.140001) (xy 210.889502 94.134181) (xy 210.925812 94.014483) (xy 210.938072 93.890001) - (xy 210.938072 92.390001) (xy 210.925812 92.265519) (xy 210.889502 92.145821) (xy 210.886391 92.140001) (xy 210.889502 92.134181) - (xy 210.925812 92.014483) (xy 210.938072 91.890001) (xy 210.935 91.425751) (xy 210.77625 91.267001) (xy 209.427 91.267001) - (xy 209.427 91.287001) (xy 209.173 91.287001) (xy 209.173 91.267001) (xy 207.82375 91.267001) (xy 207.665 91.425751) - (xy 207.662604 91.787803) (xy 207.56 91.685199) (xy 207.56 90.390001) (xy 207.661928 90.390001) (xy 207.665 90.854251) - (xy 207.82375 91.013001) (xy 209.173 91.013001) (xy 209.173 89.913751) (xy 209.427 89.913751) (xy 209.427 91.013001) - (xy 210.77625 91.013001) (xy 210.935 90.854251) (xy 210.938072 90.390001) (xy 210.925812 90.265519) (xy 210.889502 90.145821) - (xy 210.830537 90.035507) (xy 210.751185 89.938816) (xy 210.654494 89.859464) (xy 210.54418 89.800499) (xy 210.424482 89.764189) - (xy 210.3 89.751929) (xy 209.58575 89.755001) (xy 209.427 89.913751) (xy 209.173 89.913751) (xy 209.01425 89.755001) - (xy 208.3 89.751929) (xy 208.175518 89.764189) (xy 208.05582 89.800499) (xy 207.945506 89.859464) (xy 207.848815 89.938816) - (xy 207.769463 90.035507) (xy 207.710498 90.145821) (xy 207.674188 90.265519) (xy 207.661928 90.390001) (xy 207.56 90.390001) - (xy 207.56 88.156046) (xy 207.654494 88.105537) (xy 207.751185 88.026185) (xy 207.830537 87.929494) (xy 207.889502 87.81918) - (xy 207.925812 87.699482) (xy 207.938072 87.575) (xy 207.938072 87.260657) (xy 207.98925 87.26) (xy 208.148 87.10125) - (xy 208.148 85.652) (xy 208.402 85.652) (xy 208.402 87.10125) (xy 208.56075 87.26) (xy 208.8 87.263072) - (xy 208.924482 87.250812) (xy 209.04418 87.214502) (xy 209.154494 87.155537) (xy 209.251185 87.076185) (xy 209.330537 86.979494) - (xy 209.389502 86.86918) (xy 209.425812 86.749482) (xy 209.438072 86.625) (xy 226.111928 86.625) (xy 226.124188 86.749482) - (xy 226.160498 86.86918) (xy 226.219463 86.979494) (xy 226.298815 87.076185) (xy 226.395506 87.155537) (xy 226.50582 87.214502) - (xy 226.625518 87.250812) (xy 226.75 87.263072) (xy 226.98925 87.26) (xy 227.148 87.10125) (xy 227.148 85.652) - (xy 226.27375 85.652) (xy 226.115 85.81075) (xy 226.111928 86.625) (xy 209.438072 86.625) (xy 209.435 85.81075) - (xy 209.27625 85.652) (xy 208.402 85.652) (xy 208.148 85.652) (xy 207.27375 85.652) (xy 207.115 85.81075) - (xy 207.114713 85.886928) (xy 206.485287 85.886928) (xy 206.485 85.81075) (xy 206.32625 85.652) (xy 205.452 85.652) - (xy 205.198 85.652) (xy 204.32375 85.652) (xy 204.165 85.81075) (xy 204.161928 86.625) (xy 166.66 86.625) - (xy 166.66 84.66) (xy 204.162815 84.66) + (xy 188.089502 87.725821) (xy 188.073028 87.695) (xy 204.141928 87.695) (xy 204.154188 87.819482) (xy 204.190498 87.93918) + (xy 204.249463 88.049494) (xy 204.328815 88.146185) (xy 204.425506 88.225537) (xy 204.53582 88.284502) (xy 204.655518 88.320812) + (xy 204.78 88.333072) (xy 205.01925 88.33) (xy 205.178 88.17125) (xy 205.178 86.722) (xy 205.432 86.722) + (xy 205.432 88.17125) (xy 205.59075 88.33) (xy 205.641928 88.330657) (xy 205.641928 88.645) (xy 205.654188 88.769482) + (xy 205.690498 88.88918) (xy 205.749463 88.999494) (xy 205.828815 89.096185) (xy 205.925506 89.175537) (xy 206.03582 89.234502) + (xy 206.040001 89.23577) (xy 206.04 91.962677) (xy 206.036324 92) (xy 206.04 92.037322) (xy 206.04 92.037332) + (xy 206.050997 92.148985) (xy 206.085788 92.263676) (xy 206.094454 92.292246) (xy 206.165026 92.424276) (xy 206.17338 92.434455) + (xy 206.259999 92.540001) (xy 206.289002 92.563803) (xy 207.011197 93.285998) (xy 207.034995 93.314997) (xy 207.15072 93.40997) + (xy 207.282749 93.480542) (xy 207.42601 93.523999) (xy 207.537663 93.534996) (xy 207.537673 93.534996) (xy 207.574996 93.538672) + (xy 207.612319 93.534996) (xy 207.661928 93.534996) (xy 207.661928 93.890001) (xy 207.674188 94.014483) (xy 207.710498 94.134181) + (xy 207.713609 94.140001) (xy 207.710498 94.145821) (xy 207.674188 94.265519) (xy 207.661928 94.390001) (xy 207.665 94.854251) + (xy 207.82375 95.013001) (xy 209.173 95.013001) (xy 209.173 94.993001) (xy 209.427 94.993001) (xy 209.427 95.013001) + (xy 210.77625 95.013001) (xy 210.935 94.854251) (xy 210.938072 94.390001) (xy 210.925812 94.265519) (xy 210.889502 94.145821) + (xy 210.886391 94.140001) (xy 210.889502 94.134181) (xy 210.925812 94.014483) (xy 210.938072 93.890001) (xy 210.938072 92.390001) + (xy 210.925812 92.265519) (xy 210.889502 92.145821) (xy 210.886391 92.140001) (xy 210.889502 92.134181) (xy 210.925812 92.014483) + (xy 210.938072 91.890001) (xy 210.935 91.425751) (xy 210.77625 91.267001) (xy 209.427 91.267001) (xy 209.427 91.287001) + (xy 209.173 91.287001) (xy 209.173 91.267001) (xy 207.82375 91.267001) (xy 207.665 91.425751) (xy 207.662604 91.787803) + (xy 207.56 91.685199) (xy 207.56 90.390001) (xy 207.661928 90.390001) (xy 207.665 90.854251) (xy 207.82375 91.013001) + (xy 209.173 91.013001) (xy 209.173 89.913751) (xy 209.427 89.913751) (xy 209.427 91.013001) (xy 210.77625 91.013001) + (xy 210.935 90.854251) (xy 210.938072 90.390001) (xy 210.925812 90.265519) (xy 210.889502 90.145821) (xy 210.830537 90.035507) + (xy 210.751185 89.938816) (xy 210.654494 89.859464) (xy 210.54418 89.800499) (xy 210.424482 89.764189) (xy 210.3 89.751929) + (xy 209.58575 89.755001) (xy 209.427 89.913751) (xy 209.173 89.913751) (xy 209.01425 89.755001) (xy 208.3 89.751929) + (xy 208.175518 89.764189) (xy 208.05582 89.800499) (xy 207.945506 89.859464) (xy 207.848815 89.938816) (xy 207.769463 90.035507) + (xy 207.710498 90.145821) (xy 207.674188 90.265519) (xy 207.661928 90.390001) (xy 207.56 90.390001) (xy 207.56 89.215356) + (xy 207.634494 89.175537) (xy 207.731185 89.096185) (xy 207.810537 88.999494) (xy 207.869502 88.88918) (xy 207.905812 88.769482) + (xy 207.918072 88.645) (xy 207.918072 88.330657) (xy 207.96925 88.33) (xy 208.128 88.17125) (xy 208.128 86.722) + (xy 208.382 86.722) (xy 208.382 88.17125) (xy 208.54075 88.33) (xy 208.78 88.333072) (xy 208.904482 88.320812) + (xy 209.02418 88.284502) (xy 209.134494 88.225537) (xy 209.231185 88.146185) (xy 209.310537 88.049494) (xy 209.369502 87.93918) + (xy 209.405812 87.819482) (xy 209.418072 87.695) (xy 226.111928 87.695) (xy 226.124188 87.819482) (xy 226.160498 87.93918) + (xy 226.219463 88.049494) (xy 226.298815 88.146185) (xy 226.395506 88.225537) (xy 226.50582 88.284502) (xy 226.625518 88.320812) + (xy 226.75 88.333072) (xy 226.98925 88.33) (xy 227.148 88.17125) (xy 227.148 86.722) (xy 226.27375 86.722) + (xy 226.115 86.88075) (xy 226.111928 87.695) (xy 209.418072 87.695) (xy 209.415 86.88075) (xy 209.25625 86.722) + (xy 208.382 86.722) (xy 208.128 86.722) (xy 207.25375 86.722) (xy 207.095 86.88075) (xy 207.094713 86.956928) + (xy 206.465287 86.956928) (xy 206.465 86.88075) (xy 206.30625 86.722) (xy 205.432 86.722) (xy 205.178 86.722) + (xy 204.30375 86.722) (xy 204.145 86.88075) (xy 204.141928 87.695) (xy 188.073028 87.695) (xy 188.030537 87.615507) + (xy 187.951185 87.518816) (xy 187.854494 87.439464) (xy 187.74418 87.380499) (xy 187.624482 87.344189) (xy 187.5 87.331929) + (xy 186.78575 87.335001) (xy 186.627 87.493751) (xy 186.373 87.493751) (xy 186.21425 87.335001) (xy 185.5 87.331929) + (xy 185.375518 87.344189) (xy 185.25582 87.380499) (xy 185.145506 87.439464) (xy 185.048815 87.518816) (xy 184.969463 87.615507) + (xy 184.910498 87.725821) (xy 184.874188 87.845519) (xy 184.861928 87.970001) (xy 171.138072 87.970001) (xy 171.125812 87.845519) + (xy 171.089502 87.725821) (xy 171.030537 87.615507) (xy 170.951185 87.518816) (xy 170.854494 87.439464) (xy 170.74418 87.380499) + (xy 170.624482 87.344189) (xy 170.5 87.331929) (xy 169.78575 87.335001) (xy 169.627 87.493751) (xy 169.373 87.493751) + (xy 169.21425 87.335001) (xy 168.5 87.331929) (xy 168.375518 87.344189) (xy 168.25582 87.380499) (xy 168.145506 87.439464) + (xy 168.084454 87.489568) (xy 168.029494 87.444463) (xy 167.91918 87.385498) (xy 167.799482 87.349188) (xy 167.675 87.336928) + (xy 167.48575 87.34) (xy 167.327 87.49875) (xy 167.073 87.49875) (xy 166.91425 87.34) (xy 166.725 87.336928) + (xy 166.66 87.34333) (xy 166.66 85.495) (xy 204.141928 85.495) (xy 204.145 86.30925) (xy 204.30375 86.468) + (xy 205.178 86.468) (xy 205.178 85.01875) (xy 205.432 85.01875) (xy 205.432 86.468) (xy 206.30625 86.468) + (xy 206.465 86.30925) (xy 206.468072 85.495) (xy 207.091928 85.495) (xy 207.095 86.30925) (xy 207.25375 86.468) + (xy 208.128 86.468) (xy 208.128 85.01875) (xy 208.382 85.01875) (xy 208.382 86.468) (xy 209.25625 86.468) + (xy 209.415 86.30925) (xy 209.418072 85.495) (xy 226.111928 85.495) (xy 226.115 86.30925) (xy 226.27375 86.468) + (xy 227.148 86.468) (xy 227.148 85.01875) (xy 227.402 85.01875) (xy 227.402 86.468) (xy 228.27625 86.468) + (xy 228.435 86.30925) (xy 228.438072 85.495) (xy 229.061928 85.495) (xy 229.065 86.30925) (xy 229.22375 86.468) + (xy 230.098 86.468) (xy 230.098 85.01875) (xy 230.352 85.01875) (xy 230.352 86.468) (xy 231.22625 86.468) + (xy 231.385 86.30925) (xy 231.388072 85.495) (xy 231.375812 85.370518) (xy 231.339502 85.25082) (xy 231.280537 85.140506) + (xy 231.201185 85.043815) (xy 231.104494 84.964463) (xy 230.99418 84.905498) (xy 230.874482 84.869188) (xy 230.75 84.856928) + (xy 230.51075 84.86) (xy 230.352 85.01875) (xy 230.098 85.01875) (xy 229.93925 84.86) (xy 229.7 84.856928) + (xy 229.575518 84.869188) (xy 229.45582 84.905498) (xy 229.345506 84.964463) (xy 229.248815 85.043815) (xy 229.169463 85.140506) + (xy 229.110498 85.25082) (xy 229.074188 85.370518) (xy 229.061928 85.495) (xy 228.438072 85.495) (xy 228.425812 85.370518) + (xy 228.389502 85.25082) (xy 228.330537 85.140506) (xy 228.251185 85.043815) (xy 228.154494 84.964463) (xy 228.04418 84.905498) + (xy 227.924482 84.869188) (xy 227.8 84.856928) (xy 227.56075 84.86) (xy 227.402 85.01875) (xy 227.148 85.01875) + (xy 226.98925 84.86) (xy 226.75 84.856928) (xy 226.625518 84.869188) (xy 226.50582 84.905498) (xy 226.395506 84.964463) + (xy 226.298815 85.043815) (xy 226.219463 85.140506) (xy 226.160498 85.25082) (xy 226.124188 85.370518) (xy 226.111928 85.495) + (xy 209.418072 85.495) (xy 209.405812 85.370518) (xy 209.369502 85.25082) (xy 209.310537 85.140506) (xy 209.231185 85.043815) + (xy 209.134494 84.964463) (xy 209.02418 84.905498) (xy 208.904482 84.869188) (xy 208.78 84.856928) (xy 208.54075 84.86) + (xy 208.382 85.01875) (xy 208.128 85.01875) (xy 207.96925 84.86) (xy 207.73 84.856928) (xy 207.605518 84.869188) + (xy 207.48582 84.905498) (xy 207.375506 84.964463) (xy 207.278815 85.043815) (xy 207.199463 85.140506) (xy 207.140498 85.25082) + (xy 207.104188 85.370518) (xy 207.091928 85.495) (xy 206.468072 85.495) (xy 206.455812 85.370518) (xy 206.419502 85.25082) + (xy 206.360537 85.140506) (xy 206.281185 85.043815) (xy 206.184494 84.964463) (xy 206.07418 84.905498) (xy 205.954482 84.869188) + (xy 205.83 84.856928) (xy 205.59075 84.86) (xy 205.432 85.01875) (xy 205.178 85.01875) (xy 205.01925 84.86) + (xy 204.78 84.856928) (xy 204.655518 84.869188) (xy 204.53582 84.905498) (xy 204.425506 84.964463) (xy 204.328815 85.043815) + (xy 204.249463 85.140506) (xy 204.190498 85.25082) (xy 204.154188 85.370518) (xy 204.141928 85.495) (xy 166.66 85.495) + (xy 166.66 84.66) (xy 232.34 84.66) ) ) (filled_polygon (pts - (xy 212.887885 119.862115) (xy 213.017725 119.968671) (xy 213.165858 120.04785) (xy 213.326592 120.096608) (xy 213.49375 120.113072) - (xy 213.595564 120.113072) (xy 213.589463 120.120506) (xy 213.530498 120.23082) (xy 213.494188 120.350518) (xy 213.481928 120.475) - (xy 213.481928 120.82511) (xy 213.475 120.824428) (xy 213.28575 120.8275) (xy 213.127 120.98625) (xy 213.127 121.773) - (xy 213.147 121.773) (xy 213.147 122.027) (xy 213.127 122.027) (xy 213.127 122.047) (xy 212.873 122.047) - (xy 212.873 122.027) (xy 212.853 122.027) (xy 212.853 121.773) (xy 212.873 121.773) (xy 212.873 120.98625) - (xy 212.76 120.87325) (xy 212.76 119.908418) (xy 212.859124 119.82707) - ) - ) - (filled_polygon - (pts - (xy 209.52375 112.478) (xy 209.77775 112.478) (xy 209.627 112.62875) (xy 209.627 112.732) (xy 209.52375 112.732) - (xy 209.373 112.88275) (xy 209.373 112.62875) (xy 209.22225 112.478) (xy 209.373 112.478) (xy 209.373 112.32725) - ) - ) - (filled_polygon - (pts - (xy 222.127 112.478) (xy 222.27775 112.478) (xy 222.127 112.62875) (xy 222.127 112.88275) (xy 221.97625 112.732) - (xy 221.873 112.732) (xy 221.873 112.62875) (xy 221.72225 112.478) (xy 221.97625 112.478) (xy 222.127 112.32725) - ) - ) - (filled_polygon - (pts - (xy 222.127 126.04125) (xy 222.27775 126.192) (xy 222.127 126.192) (xy 222.127 126.212) (xy 221.99625 126.212) - (xy 221.97625 126.192) (xy 221.72225 126.192) (xy 221.873 126.04125) (xy 221.873 125.938) (xy 221.97625 125.938) - (xy 222.127 125.78725) - ) - ) - (filled_polygon - (pts - (xy 209.52375 125.938) (xy 209.627 125.938) (xy 209.627 126.04125) (xy 209.77775 126.192) (xy 209.52375 126.192) - (xy 209.50375 126.212) (xy 209.373 126.212) (xy 209.373 126.192) (xy 209.22225 126.192) (xy 209.373 126.04125) - (xy 209.373 125.78725) + (xy 209.913816 118.231186) (xy 210.010507 118.310538) (xy 210.120821 118.369503) (xy 210.237842 118.405001) (xy 210.120821 118.440499) + (xy 210.010507 118.499464) (xy 209.913816 118.578816) (xy 209.839104 118.669854) (xy 209.701251 118.532001) (xy 209.619142 118.532001) + (xy 209.579495 118.499464) (xy 209.469181 118.440499) (xy 209.35216 118.405001) (xy 209.469181 118.369503) (xy 209.579495 118.310538) + (xy 209.619142 118.278001) (xy 209.701251 118.278001) (xy 209.839104 118.140148) ) ) (filled_polygon @@ -3243,19 +2903,19 @@ ) ) ) - (zone (net 7) (net_name +3V3) (layer B.Cu) (tstamp 5EA85BC2) (hatch edge 0.508) + (zone (net 5) (net_name +3V3) (layer B.Cu) (tstamp 60C9197D) (hatch edge 0.508) (connect_pads (clearance 0.508)) (min_thickness 0.254) (fill yes (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) (polygon (pts - (xy 233 127.5) (xy 166 127.5) (xy 166.1 84) (xy 204.3 84) (xy 204.3 93.8) - (xy 210.7 93.8) (xy 210.7 84) (xy 233 84) + (xy 233 127.5) (xy 166 127.5) (xy 166.1 84) (xy 203.68 84.01) (xy 203.68 94.24) + (xy 211.4 94.235) (xy 211.4 84.005) (xy 233 84) ) ) (filled_polygon (pts - (xy 204.173 93.49) (xy 187.014501 93.49) (xy 186.977178 93.486324) (xy 186.939855 93.49) (xy 186.939846 93.49) + (xy 203.553 93.49) (xy 187.014501 93.49) (xy 186.977178 93.486324) (xy 186.939855 93.49) (xy 186.939846 93.49) (xy 186.828193 93.500997) (xy 186.71422 93.53557) (xy 188.48979 91.76) (xy 194.796289 91.76) (xy 194.840226 91.803937) (xy 195.009744 91.917205) (xy 195.198102 91.995226) (xy 195.398061 92.035) (xy 195.601939 92.035) (xy 195.801898 91.995226) (xy 195.990256 91.917205) (xy 196.159774 91.803937) (xy 196.303937 91.659774) (xy 196.417205 91.490256) (xy 196.495226 91.301898) @@ -3338,113 +2998,114 @@ (xy 188.159774 113.053937) (xy 188.215103 112.998608) (xy 188.398061 113.035) (xy 188.601939 113.035) (xy 188.801898 112.995226) (xy 188.990256 112.917205) (xy 189.159774 112.803937) (xy 189.303937 112.659774) (xy 189.417205 112.490256) (xy 189.495226 112.301898) (xy 189.535 112.101939) (xy 189.535 111.898061) (xy 189.495226 111.698102) (xy 189.417205 111.509744) (xy 189.303937 111.340226) - (xy 189.26 111.296289) (xy 189.26 108.334801) (xy 197.936201 117.011003) (xy 197.959999 117.040001) (xy 198.075724 117.134974) - (xy 198.207753 117.205546) (xy 198.351014 117.249003) (xy 198.462667 117.26) (xy 198.462677 117.26) (xy 198.5 117.263676) - (xy 198.537323 117.26) (xy 202.546289 117.26) (xy 202.590226 117.303937) (xy 202.759744 117.417205) (xy 202.948102 117.495226) - (xy 203.148061 117.535) (xy 203.351939 117.535) (xy 203.551898 117.495226) (xy 203.740256 117.417205) (xy 203.909774 117.303937) - (xy 204.053937 117.159774) (xy 204.167205 116.990256) (xy 204.245226 116.801898) (xy 204.285 116.601939) (xy 204.285 116.398061) - (xy 204.245226 116.198102) (xy 204.167205 116.009744) (xy 204.053937 115.840226) (xy 203.909774 115.696063) (xy 203.740256 115.582795) - (xy 203.551898 115.504774) (xy 203.351939 115.465) (xy 203.148061 115.465) (xy 202.948102 115.504774) (xy 202.759744 115.582795) - (xy 202.590226 115.696063) (xy 202.546289 115.74) (xy 198.814802 115.74) (xy 195.024802 111.95) (xy 200.011928 111.95) - (xy 200.011928 113.45) (xy 200.028992 113.623254) (xy 200.079528 113.78985) (xy 200.161595 113.943386) (xy 200.272038 114.077962) - (xy 200.406614 114.188405) (xy 200.56015 114.270472) (xy 200.726746 114.321008) (xy 200.9 114.338072) (xy 202.1 114.338072) - (xy 202.273254 114.321008) (xy 202.43985 114.270472) (xy 202.593386 114.188405) (xy 202.727962 114.077962) (xy 202.838405 113.943386) - (xy 202.892777 113.841663) (xy 202.944866 113.905134) (xy 203.170987 114.090706) (xy 203.428967 114.228599) (xy 203.70889 114.313513) - (xy 204 114.342185) (xy 204.291111 114.313513) (xy 204.571034 114.228599) (xy 204.829014 114.090706) (xy 205.055134 113.905134) - (xy 205.240706 113.679014) (xy 205.378599 113.421033) (xy 205.463513 113.14111) (xy 205.485 112.922949) (xy 205.485 112.47705) - (xy 205.463513 112.258889) (xy 205.378599 111.978966) (xy 205.240706 111.720986) (xy 205.055134 111.494866) (xy 204.829013 111.309294) - (xy 204.571033 111.171401) (xy 204.29111 111.086487) (xy 204 111.057815) (xy 203.708889 111.086487) (xy 203.428966 111.171401) - (xy 203.170986 111.309294) (xy 202.944866 111.494866) (xy 202.892777 111.558337) (xy 202.838405 111.456614) (xy 202.727962 111.322038) - (xy 202.593386 111.211595) (xy 202.43985 111.129528) (xy 202.273254 111.078992) (xy 202.1 111.061928) (xy 200.9 111.061928) - (xy 200.726746 111.078992) (xy 200.56015 111.129528) (xy 200.406614 111.211595) (xy 200.272038 111.322038) (xy 200.161595 111.456614) - (xy 200.079528 111.61015) (xy 200.028992 111.776746) (xy 200.011928 111.95) (xy 195.024802 111.95) (xy 184.235141 101.16034) - (xy 186.106894 101.16034) (xy 186.144216 101.164016) (xy 186.181538 101.16034) (xy 186.181549 101.16034) (xy 186.293202 101.149343) - (xy 186.436463 101.105886) (xy 186.568492 101.035314) (xy 186.684217 100.940341) (xy 186.70802 100.911337) (xy 188.2011 99.418257) - (xy 188.244831 99.523832) (xy 188.358099 99.69335) (xy 188.502262 99.837513) (xy 188.67178 99.950781) (xy 188.718835 99.970272) - (xy 188.753631 100.145205) (xy 188.831652 100.333563) (xy 188.94492 100.503081) (xy 189.089083 100.647244) (xy 189.258601 100.760512) - (xy 189.446959 100.838533) (xy 189.646918 100.878307) (xy 189.709056 100.878307) (xy 194.341751 105.511003) (xy 194.365549 105.540001) - (xy 194.481274 105.634974) (xy 194.613303 105.705546) (xy 194.756564 105.749003) (xy 194.868217 105.76) (xy 194.868226 105.76) - (xy 194.905549 105.763676) (xy 194.942872 105.76) (xy 206.796289 105.76) (xy 206.840226 105.803937) (xy 207.009744 105.917205) - (xy 207.198102 105.995226) (xy 207.398061 106.035) (xy 207.601939 106.035) (xy 207.801898 105.995226) (xy 207.990256 105.917205) - (xy 208.159774 105.803937) (xy 208.203711 105.76) (xy 228.296289 105.76) (xy 228.340226 105.803937) (xy 228.509744 105.917205) - (xy 228.698102 105.995226) (xy 228.898061 106.035) (xy 229.101939 106.035) (xy 229.301898 105.995226) (xy 229.490256 105.917205) - (xy 229.659774 105.803937) (xy 229.803937 105.659774) (xy 229.917205 105.490256) (xy 229.995226 105.301898) (xy 230.035 105.101939) - (xy 230.035 104.898061) (xy 229.995226 104.698102) (xy 229.917205 104.509744) (xy 229.803937 104.340226) (xy 229.659774 104.196063) - (xy 229.490256 104.082795) (xy 229.301898 104.004774) (xy 229.101939 103.965) (xy 228.898061 103.965) (xy 228.853444 103.973875) - (xy 228.990256 103.917205) (xy 229.159774 103.803937) (xy 229.303937 103.659774) (xy 229.417205 103.490256) (xy 229.495226 103.301898) - (xy 229.535 103.101939) (xy 229.535 102.898061) (xy 229.495226 102.698102) (xy 229.417205 102.509744) (xy 229.303937 102.340226) - (xy 229.159774 102.196063) (xy 228.990256 102.082795) (xy 228.801898 102.004774) (xy 228.777897 102) (xy 228.801898 101.995226) - (xy 228.990256 101.917205) (xy 229.159774 101.803937) (xy 229.303937 101.659774) (xy 229.417205 101.490256) (xy 229.495226 101.301898) - (xy 229.535 101.101939) (xy 229.535 100.898061) (xy 229.495226 100.698102) (xy 229.417205 100.509744) (xy 229.303937 100.340226) - (xy 229.159774 100.196063) (xy 228.990256 100.082795) (xy 228.801898 100.004774) (xy 228.601939 99.965) (xy 228.398061 99.965) - (xy 228.198102 100.004774) (xy 228.009744 100.082795) (xy 227.840226 100.196063) (xy 227.796289 100.24) (xy 218.223711 100.24) - (xy 218.303937 100.159774) (xy 218.417205 99.990256) (xy 218.495226 99.801898) (xy 218.535 99.601939) (xy 218.535 99.398061) - (xy 218.495226 99.198102) (xy 218.417205 99.009744) (xy 218.303937 98.840226) (xy 218.159774 98.696063) (xy 217.990256 98.582795) - (xy 217.801898 98.504774) (xy 217.601939 98.465) (xy 217.398061 98.465) (xy 217.198102 98.504774) (xy 217.009744 98.582795) - (xy 216.840226 98.696063) (xy 216.796289 98.74) (xy 198.814802 98.74) (xy 197.284812 97.210011) (xy 203.63521 97.210011) - (xy 204.661203 98.236005) (xy 204.685001 98.265003) (xy 204.800726 98.359976) (xy 204.932755 98.430548) (xy 205.076016 98.474005) - (xy 205.136884 98.48) (xy 205.225002 98.488679) (xy 205.262335 98.485002) (xy 216.81068 98.485002) (xy 216.848002 98.488678) - (xy 216.885324 98.485002) (xy 216.885335 98.485002) (xy 216.996988 98.474005) (xy 217.140249 98.430548) (xy 217.272278 98.359976) - (xy 217.388003 98.265003) (xy 217.411805 98.236) (xy 218.118122 97.529685) (xy 218.147115 97.505891) (xy 218.170909 97.476898) - (xy 218.170913 97.476894) (xy 218.242087 97.390167) (xy 218.242088 97.390166) (xy 218.31266 97.258137) (xy 218.356117 97.114876) - (xy 218.367114 97.003223) (xy 218.367114 97.003214) (xy 218.37079 96.965891) (xy 218.367114 96.928568) (xy 218.367114 96.230206) - (xy 218.37079 96.192883) (xy 218.367114 96.155561) (xy 218.367114 96.155551) (xy 218.356117 96.043898) (xy 218.31266 95.900637) - (xy 218.242115 95.768659) (xy 218.242115 95.690946) (xy 218.202341 95.490987) (xy 218.12432 95.302629) (xy 218.011052 95.133111) - (xy 217.866889 94.988948) (xy 217.697371 94.87568) (xy 217.509013 94.797659) (xy 217.309054 94.757885) (xy 217.105176 94.757885) - (xy 216.905217 94.797659) (xy 216.716859 94.87568) (xy 216.547341 94.988948) (xy 216.403178 95.133111) (xy 216.28991 95.302629) - (xy 216.211889 95.490987) (xy 216.18765 95.612848) (xy 215.763815 95.189014) (xy 215.740013 95.160011) (xy 215.624288 95.065038) - (xy 215.492259 94.994466) (xy 215.348998 94.951009) (xy 215.237345 94.940012) (xy 215.237334 94.940012) (xy 215.200012 94.936336) - (xy 215.16269 94.940012) (xy 214.200474 94.940012) (xy 216.511003 92.629484) (xy 216.540001 92.605686) (xy 216.634974 92.489961) - (xy 216.705546 92.357932) (xy 216.748987 92.214724) (xy 216.803937 92.159774) (xy 216.917205 91.990256) (xy 216.995226 91.801898) - (xy 217.035 91.601939) (xy 217.035 91.398061) (xy 216.995226 91.198102) (xy 216.917205 91.009744) (xy 216.803937 90.840226) - (xy 216.659774 90.696063) (xy 216.490256 90.582795) (xy 216.301898 90.504774) (xy 216.101939 90.465) (xy 215.898061 90.465) - (xy 215.698102 90.504774) (xy 215.509744 90.582795) (xy 215.340226 90.696063) (xy 215.196063 90.840226) (xy 215.082795 91.009744) - (xy 215.004774 91.198102) (xy 214.965 91.398061) (xy 214.965 91.601939) (xy 215.004774 91.801898) (xy 215.058728 91.932155) - (xy 213.34268 93.648204) (xy 213.303941 93.590226) (xy 213.159778 93.446063) (xy 212.99026 93.332795) (xy 212.801902 93.254774) - (xy 212.601943 93.215) (xy 212.398065 93.215) (xy 212.198106 93.254774) (xy 212.009748 93.332795) (xy 211.84023 93.446063) - (xy 211.78528 93.501013) (xy 211.642072 93.544454) (xy 211.510043 93.615026) (xy 211.394318 93.709999) (xy 211.370515 93.739003) - (xy 211.344517 93.765001) (xy 210.827 93.765001) (xy 210.827 84.66) (xy 232.34 84.66) (xy 232.340001 126.84) - (xy 166.66 126.84) (xy 166.66 118.498061) (xy 170.465 118.498061) (xy 170.465 118.701939) (xy 170.504774 118.901898) - (xy 170.582795 119.090256) (xy 170.696063 119.259774) (xy 170.840226 119.403937) (xy 171.009744 119.517205) (xy 171.198102 119.595226) - (xy 171.398061 119.635) (xy 171.601939 119.635) (xy 171.801898 119.595226) (xy 171.990256 119.517205) (xy 172.159774 119.403937) - (xy 172.303937 119.259774) (xy 172.417205 119.090256) (xy 172.495226 118.901898) (xy 172.535 118.701939) (xy 172.535 118.639801) - (xy 172.814802 118.36) (xy 175.879153 118.36) (xy 175.879153 118.426949) (xy 175.918927 118.626908) (xy 175.996948 118.815266) - (xy 176.110216 118.984784) (xy 176.154153 119.028721) (xy 176.154153 119.124123) (xy 176.150477 119.161445) (xy 176.154153 119.198767) - (xy 176.154153 119.198777) (xy 176.16515 119.31043) (xy 176.201416 119.429984) (xy 176.208607 119.453691) (xy 176.279179 119.585721) - (xy 176.28698 119.595226) (xy 176.374152 119.701446) (xy 176.403156 119.725249) (xy 176.716487 120.03858) (xy 176.609744 120.082795) - (xy 176.440226 120.196063) (xy 176.396289 120.24) (xy 174.003711 120.24) (xy 173.959774 120.196063) (xy 173.790256 120.082795) - (xy 173.601898 120.004774) (xy 173.401939 119.965) (xy 173.198061 119.965) (xy 172.998102 120.004774) (xy 172.809744 120.082795) - (xy 172.640226 120.196063) (xy 172.496063 120.340226) (xy 172.382795 120.509744) (xy 172.304774 120.698102) (xy 172.265 120.898061) - (xy 172.265 121.101939) (xy 172.304774 121.301898) (xy 172.382795 121.490256) (xy 172.496063 121.659774) (xy 172.640226 121.803937) - (xy 172.809744 121.917205) (xy 172.998102 121.995226) (xy 173.198061 122.035) (xy 173.401939 122.035) (xy 173.601898 121.995226) - (xy 173.790256 121.917205) (xy 173.959774 121.803937) (xy 174.003711 121.76) (xy 176.396289 121.76) (xy 176.440226 121.803937) - (xy 176.609744 121.917205) (xy 176.798102 121.995226) (xy 176.998061 122.035) (xy 177.060199 122.035) (xy 178.2862 123.261002) - (xy 178.309999 123.290001) (xy 178.425724 123.384974) (xy 178.557753 123.455546) (xy 178.701014 123.499003) (xy 178.812667 123.51) - (xy 178.812675 123.51) (xy 178.85 123.513676) (xy 178.887325 123.51) (xy 187.935199 123.51) (xy 188.215 123.789802) - (xy 188.215 123.851939) (xy 188.254774 124.051898) (xy 188.332795 124.240256) (xy 188.446063 124.409774) (xy 188.590226 124.553937) - (xy 188.759744 124.667205) (xy 188.948102 124.745226) (xy 189.148061 124.785) (xy 189.351939 124.785) (xy 189.551898 124.745226) - (xy 189.740256 124.667205) (xy 189.909774 124.553937) (xy 190.053937 124.409774) (xy 190.167205 124.240256) (xy 190.245226 124.051898) - (xy 190.285 123.851939) (xy 190.285 123.648061) (xy 190.245226 123.448102) (xy 190.167205 123.259744) (xy 190.053937 123.090226) - (xy 189.909774 122.946063) (xy 189.740256 122.832795) (xy 189.551898 122.754774) (xy 189.351939 122.715) (xy 189.289802 122.715) - (xy 188.813804 122.239002) (xy 188.790001 122.209999) (xy 188.674276 122.115026) (xy 188.642538 122.098061) (xy 201.165 122.098061) - (xy 201.165 122.301939) (xy 201.204774 122.501898) (xy 201.282795 122.690256) (xy 201.396063 122.859774) (xy 201.540226 123.003937) - (xy 201.709744 123.117205) (xy 201.898102 123.195226) (xy 202.098061 123.235) (xy 202.301939 123.235) (xy 202.501898 123.195226) - (xy 202.690256 123.117205) (xy 202.859774 123.003937) (xy 202.903711 122.96) (xy 215.29268 122.96) (xy 215.330002 122.963676) - (xy 215.367324 122.96) (xy 215.367335 122.96) (xy 215.478988 122.949003) (xy 215.622249 122.905546) (xy 215.754278 122.834974) - (xy 215.815172 122.785) (xy 215.881941 122.785) (xy 216.0819 122.745226) (xy 216.270258 122.667205) (xy 216.439776 122.553937) - (xy 216.459456 122.534257) (xy 217.215 123.289802) (xy 217.215 123.351939) (xy 217.254774 123.551898) (xy 217.332795 123.740256) - (xy 217.446063 123.909774) (xy 217.590226 124.053937) (xy 217.759744 124.167205) (xy 217.948102 124.245226) (xy 218.148061 124.285) - (xy 218.351939 124.285) (xy 218.551898 124.245226) (xy 218.740256 124.167205) (xy 218.909774 124.053937) (xy 219.053937 123.909774) - (xy 219.167205 123.740256) (xy 219.245226 123.551898) (xy 219.285 123.351939) (xy 219.285 123.148061) (xy 219.245226 122.948102) - (xy 219.167205 122.759744) (xy 219.053937 122.590226) (xy 218.909774 122.446063) (xy 218.740256 122.332795) (xy 218.551898 122.254774) - (xy 218.351939 122.215) (xy 218.289802 122.215) (xy 217.313804 121.239003) (xy 217.290001 121.209999) (xy 217.174276 121.115026) - (xy 217.042247 121.044454) (xy 216.898986 121.000997) (xy 216.787333 120.99) (xy 216.787322 120.99) (xy 216.75 120.986324) - (xy 216.712678 120.99) (xy 216.483713 120.99) (xy 216.439776 120.946063) (xy 216.270258 120.832795) (xy 216.0819 120.754774) - (xy 215.881941 120.715) (xy 215.678063 120.715) (xy 215.478104 120.754774) (xy 215.289746 120.832795) (xy 215.120228 120.946063) - (xy 214.976065 121.090226) (xy 214.862797 121.259744) (xy 214.788132 121.44) (xy 202.903711 121.44) (xy 202.859774 121.396063) - (xy 202.690256 121.282795) (xy 202.501898 121.204774) (xy 202.301939 121.165) (xy 202.098061 121.165) (xy 201.898102 121.204774) - (xy 201.709744 121.282795) (xy 201.540226 121.396063) (xy 201.396063 121.540226) (xy 201.282795 121.709744) (xy 201.204774 121.898102) - (xy 201.165 122.098061) (xy 188.642538 122.098061) (xy 188.542247 122.044454) (xy 188.398986 122.000997) (xy 188.287333 121.99) + (xy 189.26 111.296289) (xy 189.26 107.214318) (xy 189.263676 107.176995) (xy 189.26 107.139672) (xy 189.26 107.139663) + (xy 189.249003 107.02801) (xy 189.205546 106.884749) (xy 189.134974 106.75272) (xy 189.121811 106.736681) (xy 189.063799 106.665992) + (xy 189.063795 106.665988) (xy 189.040001 106.636995) (xy 189.011009 106.613202) (xy 184.807816 102.410011) (xy 188.255263 102.410011) + (xy 202.524114 116.678863) (xy 202.524114 116.741) (xy 202.563888 116.940959) (xy 202.641909 117.129317) (xy 202.755177 117.298835) + (xy 202.89934 117.442998) (xy 203.068858 117.556266) (xy 203.257216 117.634287) (xy 203.457175 117.674061) (xy 203.661053 117.674061) + (xy 203.861012 117.634287) (xy 204.04937 117.556266) (xy 204.218888 117.442998) (xy 204.363051 117.298835) (xy 204.476319 117.129317) + (xy 204.55434 116.940959) (xy 204.594114 116.741) (xy 204.594114 116.537122) (xy 204.55434 116.337163) (xy 204.476319 116.148805) + (xy 204.363051 115.979287) (xy 204.218888 115.835124) (xy 204.04937 115.721856) (xy 203.861012 115.643835) (xy 203.661053 115.604061) + (xy 203.598916 115.604061) (xy 199.277905 111.28305) (xy 214.201 111.28305) (xy 214.201 111.728949) (xy 214.222487 111.94711) + (xy 214.307401 112.227033) (xy 214.445294 112.485013) (xy 214.630866 112.711134) (xy 214.856986 112.896706) (xy 215.114966 113.034599) + (xy 215.394889 113.119513) (xy 215.686 113.148185) (xy 215.97711 113.119513) (xy 216.257033 113.034599) (xy 216.515013 112.896706) + (xy 216.741134 112.711134) (xy 216.793223 112.647663) (xy 216.847595 112.749386) (xy 216.958038 112.883962) (xy 217.092614 112.994405) + (xy 217.24615 113.076472) (xy 217.412746 113.127008) (xy 217.586 113.144072) (xy 218.786 113.144072) (xy 218.959254 113.127008) + (xy 219.12585 113.076472) (xy 219.279386 112.994405) (xy 219.413962 112.883962) (xy 219.524405 112.749386) (xy 219.606472 112.59585) + (xy 219.657008 112.429254) (xy 219.674072 112.256) (xy 219.674072 110.781422) (xy 227.780738 110.781422) (xy 227.780738 111.218578) + (xy 227.866023 111.647335) (xy 228.033316 112.051215) (xy 228.276187 112.414697) (xy 228.585303 112.723813) (xy 228.948785 112.966684) + (xy 229.352665 113.133977) (xy 229.781422 113.219262) (xy 230.218578 113.219262) (xy 230.647335 113.133977) (xy 231.051215 112.966684) + (xy 231.414697 112.723813) (xy 231.723813 112.414697) (xy 231.966684 112.051215) (xy 232.133977 111.647335) (xy 232.219262 111.218578) + (xy 232.219262 110.781422) (xy 232.133977 110.352665) (xy 231.966684 109.948785) (xy 231.723813 109.585303) (xy 231.414697 109.276187) + (xy 231.051215 109.033316) (xy 230.647335 108.866023) (xy 230.218578 108.780738) (xy 229.781422 108.780738) (xy 229.352665 108.866023) + (xy 228.948785 109.033316) (xy 228.585303 109.276187) (xy 228.276187 109.585303) (xy 228.033316 109.948785) (xy 227.866023 110.352665) + (xy 227.780738 110.781422) (xy 219.674072 110.781422) (xy 219.674072 110.756) (xy 219.657008 110.582746) (xy 219.606472 110.41615) + (xy 219.524405 110.262614) (xy 219.413962 110.128038) (xy 219.279386 110.017595) (xy 219.12585 109.935528) (xy 218.959254 109.884992) + (xy 218.786 109.867928) (xy 217.586 109.867928) (xy 217.412746 109.884992) (xy 217.24615 109.935528) (xy 217.092614 110.017595) + (xy 216.958038 110.128038) (xy 216.847595 110.262614) (xy 216.793223 110.364337) (xy 216.741134 110.300866) (xy 216.515014 110.115294) + (xy 216.257034 109.977401) (xy 215.977111 109.892487) (xy 215.686 109.863815) (xy 215.39489 109.892487) (xy 215.114967 109.977401) + (xy 214.856987 110.115294) (xy 214.630866 110.300866) (xy 214.445294 110.526986) (xy 214.307401 110.784966) (xy 214.222487 111.064889) + (xy 214.201 111.28305) (xy 199.277905 111.28305) (xy 189.133868 101.139014) (xy 189.110065 101.11001) (xy 188.99434 101.015037) + (xy 188.862311 100.944465) (xy 188.71905 100.901008) (xy 188.607397 100.890011) (xy 188.607386 100.890011) (xy 188.570064 100.886335) + (xy 188.532742 100.890011) (xy 186.729346 100.890011) (xy 188.2011 99.418257) (xy 188.244831 99.523832) (xy 188.358099 99.69335) + (xy 188.502262 99.837513) (xy 188.67178 99.950781) (xy 188.718835 99.970272) (xy 188.753631 100.145205) (xy 188.831652 100.333563) + (xy 188.94492 100.503081) (xy 189.089083 100.647244) (xy 189.258601 100.760512) (xy 189.446959 100.838533) (xy 189.646918 100.878307) + (xy 189.709056 100.878307) (xy 194.341751 105.511003) (xy 194.365549 105.540001) (xy 194.481274 105.634974) (xy 194.613303 105.705546) + (xy 194.756564 105.749003) (xy 194.868217 105.76) (xy 194.868226 105.76) (xy 194.905549 105.763676) (xy 194.942872 105.76) + (xy 206.796289 105.76) (xy 206.840226 105.803937) (xy 207.009744 105.917205) (xy 207.198102 105.995226) (xy 207.398061 106.035) + (xy 207.601939 106.035) (xy 207.801898 105.995226) (xy 207.990256 105.917205) (xy 208.159774 105.803937) (xy 208.203711 105.76) + (xy 228.296289 105.76) (xy 228.340226 105.803937) (xy 228.509744 105.917205) (xy 228.698102 105.995226) (xy 228.898061 106.035) + (xy 229.101939 106.035) (xy 229.301898 105.995226) (xy 229.490256 105.917205) (xy 229.659774 105.803937) (xy 229.803937 105.659774) + (xy 229.917205 105.490256) (xy 229.995226 105.301898) (xy 230.035 105.101939) (xy 230.035 104.898061) (xy 229.995226 104.698102) + (xy 229.917205 104.509744) (xy 229.803937 104.340226) (xy 229.659774 104.196063) (xy 229.490256 104.082795) (xy 229.301898 104.004774) + (xy 229.101939 103.965) (xy 228.898061 103.965) (xy 228.853444 103.973875) (xy 228.990256 103.917205) (xy 229.159774 103.803937) + (xy 229.303937 103.659774) (xy 229.417205 103.490256) (xy 229.495226 103.301898) (xy 229.535 103.101939) (xy 229.535 102.898061) + (xy 229.495226 102.698102) (xy 229.417205 102.509744) (xy 229.303937 102.340226) (xy 229.159774 102.196063) (xy 228.990256 102.082795) + (xy 228.801898 102.004774) (xy 228.777897 102) (xy 228.801898 101.995226) (xy 228.990256 101.917205) (xy 229.159774 101.803937) + (xy 229.303937 101.659774) (xy 229.417205 101.490256) (xy 229.495226 101.301898) (xy 229.535 101.101939) (xy 229.535 100.898061) + (xy 229.495226 100.698102) (xy 229.417205 100.509744) (xy 229.303937 100.340226) (xy 229.159774 100.196063) (xy 228.990256 100.082795) + (xy 228.801898 100.004774) (xy 228.601939 99.965) (xy 228.398061 99.965) (xy 228.198102 100.004774) (xy 228.009744 100.082795) + (xy 227.840226 100.196063) (xy 227.796289 100.24) (xy 218.223711 100.24) (xy 218.303937 100.159774) (xy 218.417205 99.990256) + (xy 218.495226 99.801898) (xy 218.535 99.601939) (xy 218.535 99.398061) (xy 218.495226 99.198102) (xy 218.417205 99.009744) + (xy 218.303937 98.840226) (xy 218.159774 98.696063) (xy 217.990256 98.582795) (xy 217.801898 98.504774) (xy 217.601939 98.465) + (xy 217.398061 98.465) (xy 217.198102 98.504774) (xy 217.009744 98.582795) (xy 216.840226 98.696063) (xy 216.796289 98.74) + (xy 198.814802 98.74) (xy 197.284812 97.210011) (xy 203.63521 97.210011) (xy 204.661203 98.236005) (xy 204.685001 98.265003) + (xy 204.800726 98.359976) (xy 204.932755 98.430548) (xy 205.076016 98.474005) (xy 205.136884 98.48) (xy 205.225002 98.488679) + (xy 205.262335 98.485002) (xy 216.81068 98.485002) (xy 216.848002 98.488678) (xy 216.885324 98.485002) (xy 216.885335 98.485002) + (xy 216.996988 98.474005) (xy 217.140249 98.430548) (xy 217.272278 98.359976) (xy 217.388003 98.265003) (xy 217.411805 98.236) + (xy 218.118122 97.529685) (xy 218.147115 97.505891) (xy 218.170909 97.476898) (xy 218.170913 97.476894) (xy 218.242087 97.390167) + (xy 218.242088 97.390166) (xy 218.31266 97.258137) (xy 218.356117 97.114876) (xy 218.367114 97.003223) (xy 218.367114 97.003214) + (xy 218.37079 96.965891) (xy 218.367114 96.928568) (xy 218.367114 96.230206) (xy 218.37079 96.192883) (xy 218.367114 96.155561) + (xy 218.367114 96.155551) (xy 218.356117 96.043898) (xy 218.31266 95.900637) (xy 218.242115 95.768659) (xy 218.242115 95.690946) + (xy 218.202341 95.490987) (xy 218.12432 95.302629) (xy 218.011052 95.133111) (xy 217.866889 94.988948) (xy 217.697371 94.87568) + (xy 217.509013 94.797659) (xy 217.309054 94.757885) (xy 217.105176 94.757885) (xy 216.905217 94.797659) (xy 216.716859 94.87568) + (xy 216.547341 94.988948) (xy 216.403178 95.133111) (xy 216.28991 95.302629) (xy 216.211889 95.490987) (xy 216.18765 95.612848) + (xy 215.763815 95.189014) (xy 215.740013 95.160011) (xy 215.624288 95.065038) (xy 215.492259 94.994466) (xy 215.348998 94.951009) + (xy 215.237345 94.940012) (xy 215.237334 94.940012) (xy 215.200012 94.936336) (xy 215.16269 94.940012) (xy 214.200474 94.940012) + (xy 216.511003 92.629484) (xy 216.540001 92.605686) (xy 216.634974 92.489961) (xy 216.705546 92.357932) (xy 216.748987 92.214724) + (xy 216.803937 92.159774) (xy 216.917205 91.990256) (xy 216.995226 91.801898) (xy 217.035 91.601939) (xy 217.035 91.398061) + (xy 216.995226 91.198102) (xy 216.917205 91.009744) (xy 216.803937 90.840226) (xy 216.659774 90.696063) (xy 216.490256 90.582795) + (xy 216.301898 90.504774) (xy 216.101939 90.465) (xy 215.898061 90.465) (xy 215.698102 90.504774) (xy 215.509744 90.582795) + (xy 215.340226 90.696063) (xy 215.196063 90.840226) (xy 215.082795 91.009744) (xy 215.004774 91.198102) (xy 214.965 91.398061) + (xy 214.965 91.601939) (xy 215.004774 91.801898) (xy 215.058728 91.932155) (xy 213.34268 93.648204) (xy 213.303941 93.590226) + (xy 213.159778 93.446063) (xy 212.99026 93.332795) (xy 212.801902 93.254774) (xy 212.601943 93.215) (xy 212.398065 93.215) + (xy 212.198106 93.254774) (xy 212.009748 93.332795) (xy 211.84023 93.446063) (xy 211.78528 93.501013) (xy 211.642072 93.544454) + (xy 211.527 93.605962) (xy 211.527 84.66) (xy 227.000634 84.66) (xy 226.969744 84.672795) (xy 226.800226 84.786063) + (xy 226.656063 84.930226) (xy 226.542795 85.099744) (xy 226.464774 85.288102) (xy 226.425 85.488061) (xy 226.425 85.691939) + (xy 226.464774 85.891898) (xy 226.542795 86.080256) (xy 226.656063 86.249774) (xy 226.800226 86.393937) (xy 226.969744 86.507205) + (xy 227.158102 86.585226) (xy 227.358061 86.625) (xy 227.561939 86.625) (xy 227.761898 86.585226) (xy 227.950256 86.507205) + (xy 228.119774 86.393937) (xy 228.263937 86.249774) (xy 228.377205 86.080256) (xy 228.455226 85.891898) (xy 228.495 85.691939) + (xy 228.495 85.488061) (xy 228.455226 85.288102) (xy 228.377205 85.099744) (xy 228.263937 84.930226) (xy 228.119774 84.786063) + (xy 227.950256 84.672795) (xy 227.919366 84.66) (xy 229.700634 84.66) (xy 229.669744 84.672795) (xy 229.500226 84.786063) + (xy 229.356063 84.930226) (xy 229.242795 85.099744) (xy 229.164774 85.288102) (xy 229.125 85.488061) (xy 229.125 85.691939) + (xy 229.164774 85.891898) (xy 229.242795 86.080256) (xy 229.356063 86.249774) (xy 229.500226 86.393937) (xy 229.669744 86.507205) + (xy 229.858102 86.585226) (xy 230.058061 86.625) (xy 230.261939 86.625) (xy 230.461898 86.585226) (xy 230.650256 86.507205) + (xy 230.819774 86.393937) (xy 230.963937 86.249774) (xy 231.077205 86.080256) (xy 231.155226 85.891898) (xy 231.195 85.691939) + (xy 231.195 85.488061) (xy 231.155226 85.288102) (xy 231.077205 85.099744) (xy 230.963937 84.930226) (xy 230.819774 84.786063) + (xy 230.650256 84.672795) (xy 230.619366 84.66) (xy 232.34 84.66) (xy 232.340001 126.84) (xy 166.66 126.84) + (xy 166.66 118.498061) (xy 170.465 118.498061) (xy 170.465 118.701939) (xy 170.504774 118.901898) (xy 170.582795 119.090256) + (xy 170.696063 119.259774) (xy 170.840226 119.403937) (xy 171.009744 119.517205) (xy 171.198102 119.595226) (xy 171.398061 119.635) + (xy 171.601939 119.635) (xy 171.801898 119.595226) (xy 171.990256 119.517205) (xy 172.159774 119.403937) (xy 172.303937 119.259774) + (xy 172.417205 119.090256) (xy 172.495226 118.901898) (xy 172.535 118.701939) (xy 172.535 118.639801) (xy 172.814802 118.36) + (xy 175.879153 118.36) (xy 175.879153 118.426949) (xy 175.918927 118.626908) (xy 175.996948 118.815266) (xy 176.110216 118.984784) + (xy 176.154153 119.028721) (xy 176.154153 119.124123) (xy 176.150477 119.161445) (xy 176.154153 119.198767) (xy 176.154153 119.198777) + (xy 176.16515 119.31043) (xy 176.201416 119.429984) (xy 176.208607 119.453691) (xy 176.279179 119.585721) (xy 176.28698 119.595226) + (xy 176.374152 119.701446) (xy 176.403156 119.725249) (xy 176.716487 120.03858) (xy 176.609744 120.082795) (xy 176.440226 120.196063) + (xy 176.396289 120.24) (xy 174.003711 120.24) (xy 173.959774 120.196063) (xy 173.790256 120.082795) (xy 173.601898 120.004774) + (xy 173.401939 119.965) (xy 173.198061 119.965) (xy 172.998102 120.004774) (xy 172.809744 120.082795) (xy 172.640226 120.196063) + (xy 172.496063 120.340226) (xy 172.382795 120.509744) (xy 172.304774 120.698102) (xy 172.265 120.898061) (xy 172.265 121.101939) + (xy 172.304774 121.301898) (xy 172.382795 121.490256) (xy 172.496063 121.659774) (xy 172.640226 121.803937) (xy 172.809744 121.917205) + (xy 172.998102 121.995226) (xy 173.198061 122.035) (xy 173.401939 122.035) (xy 173.601898 121.995226) (xy 173.790256 121.917205) + (xy 173.959774 121.803937) (xy 174.003711 121.76) (xy 176.396289 121.76) (xy 176.440226 121.803937) (xy 176.609744 121.917205) + (xy 176.798102 121.995226) (xy 176.998061 122.035) (xy 177.060199 122.035) (xy 178.2862 123.261002) (xy 178.309999 123.290001) + (xy 178.425724 123.384974) (xy 178.557753 123.455546) (xy 178.701014 123.499003) (xy 178.812667 123.51) (xy 178.812675 123.51) + (xy 178.85 123.513676) (xy 178.887325 123.51) (xy 187.935199 123.51) (xy 188.215 123.789802) (xy 188.215 123.851939) + (xy 188.254774 124.051898) (xy 188.332795 124.240256) (xy 188.446063 124.409774) (xy 188.590226 124.553937) (xy 188.759744 124.667205) + (xy 188.948102 124.745226) (xy 189.148061 124.785) (xy 189.351939 124.785) (xy 189.551898 124.745226) (xy 189.740256 124.667205) + (xy 189.909774 124.553937) (xy 190.053937 124.409774) (xy 190.167205 124.240256) (xy 190.245226 124.051898) (xy 190.285 123.851939) + (xy 190.285 123.648061) (xy 190.245226 123.448102) (xy 190.167205 123.259744) (xy 190.053937 123.090226) (xy 189.909774 122.946063) + (xy 189.740256 122.832795) (xy 189.551898 122.754774) (xy 189.351939 122.715) (xy 189.289802 122.715) (xy 188.813804 122.239002) + (xy 188.790001 122.209999) (xy 188.674276 122.115026) (xy 188.542247 122.044454) (xy 188.398986 122.000997) (xy 188.287333 121.99) (xy 188.287322 121.99) (xy 188.25 121.986324) (xy 188.212678 121.99) (xy 180.413691 121.99) (xy 180.420236 121.9742) (xy 180.46001 121.774241) (xy 180.46001 121.570363) (xy 180.420236 121.370404) (xy 180.369964 121.249039) (xy 180.425439 121.166015) (xy 180.50346 120.977657) (xy 180.543234 120.777698) (xy 180.543234 120.71556) (xy 181.414 119.844795) (xy 181.442997 119.820998) @@ -3460,11 +3121,18 @@ (xy 172.5 116.836323) (xy 172.462667 116.84) (xy 172.351014 116.850997) (xy 172.207753 116.894454) (xy 172.075724 116.965026) (xy 171.959999 117.059999) (xy 171.936201 117.088997) (xy 171.460199 117.565) (xy 171.398061 117.565) (xy 171.198102 117.604774) (xy 171.009744 117.682795) (xy 170.840226 117.796063) (xy 170.696063 117.940226) (xy 170.582795 118.109744) (xy 170.504774 118.298102) - (xy 170.465 118.498061) (xy 166.66 118.498061) (xy 166.66 84.66) (xy 204.173 84.66) + (xy 170.465 118.498061) (xy 166.66 118.498061) (xy 166.66 110.781422) (xy 166.780738 110.781422) (xy 166.780738 111.218578) + (xy 166.866023 111.647335) (xy 167.033316 112.051215) (xy 167.276187 112.414697) (xy 167.585303 112.723813) (xy 167.948785 112.966684) + (xy 168.352665 113.133977) (xy 168.781422 113.219262) (xy 169.218578 113.219262) (xy 169.647335 113.133977) (xy 170.051215 112.966684) + (xy 170.414697 112.723813) (xy 170.723813 112.414697) (xy 170.966684 112.051215) (xy 171.133977 111.647335) (xy 171.219262 111.218578) + (xy 171.219262 110.781422) (xy 171.133977 110.352665) (xy 170.966684 109.948785) (xy 170.723813 109.585303) (xy 170.414697 109.276187) + (xy 170.051215 109.033316) (xy 169.647335 108.866023) (xy 169.218578 108.780738) (xy 168.781422 108.780738) (xy 168.352665 108.866023) + (xy 167.948785 109.033316) (xy 167.585303 109.276187) (xy 167.276187 109.585303) (xy 167.033316 109.948785) (xy 166.866023 110.352665) + (xy 166.780738 110.781422) (xy 166.66 110.781422) (xy 166.66 84.66) (xy 203.553 84.66) ) ) ) - (zone (net 1) (net_name GND) (layer B.Cu) (tstamp 0) (hatch edge 0.508) + (zone (net 1) (net_name GND) (layer B.Cu) (tstamp 60C9197A) (hatch edge 0.508) (connect_pads (clearance 1)) (min_thickness 0.254) (fill yes (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508) (smoothing fillet)) @@ -3480,4 +3148,14 @@ ) ) ) + (zone (net 1) (net_name GND) (layer B.Cu) (tstamp 60C91977) (hatch edge 0.508) + (connect_pads (clearance 1)) + (min_thickness 0.254) + (fill yes (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508) (smoothing fillet)) + (polygon + (pts + (xy 240.636135 85.37) (xy 236.536135 85.37) (xy 234.936135 85.37) (xy 234.936135 75.67) (xy 240.636135 75.67) + ) + ) + ) ) diff --git a/loranet2.pro b/loranet2.pro index 46f84c3..204deef 100644 --- a/loranet2.pro +++ b/loranet2.pro @@ -1,4 +1,4 @@ -update=Tue 28 Apr 2020 08:11:04 AM PDT +update=Tue 15 Jun 2021 04:25:08 PM PDT version=1 last_client=kicad [general] @@ -29,6 +29,8 @@ MinMicroViaDiameter=0.2 MinMicroViaDrill=0.09999999999999999 MinHoleToHole=0.25 TrackWidth1=0.25 +TrackWidth2=0.3 +TrackWidth3=0.5 ViaDiameter1=0.8 ViaDrill1=0.4 ViaDiameter2=0.4 diff --git a/loranet2.sch b/loranet2.sch index ffc90ae..ec65ca7 100644 --- a/loranet2.sch +++ b/loranet2.sch @@ -14,52 +14,6 @@ Comment3 "" Comment4 "" $EndDescr $Comp -L Regulator_Switching:MCP16331CH U2 -U 1 1 5EA7821D -P 1925 1150 -F 0 "U2" H 1925 1517 50 0000 C CNN -F 1 "MCP16331CH" H 1925 1426 50 0000 C CNN -F 2 "Package_TO_SOT_SMD:SOT-23-6" H 1975 900 50 0001 L CNN -F 3 "http://ww1.microchip.com/downloads/en/DeviceDoc/20005308C.pdf" H 725 1800 50 0001 C CNN - 1 1925 1150 - 1 0 0 -1 -$EndComp -$Comp -L Device:RF_Shield_One_Piece J3 -U 1 1 5EA793A8 -P -3075 750 -F 0 "J3" H -2445 739 50 0000 L CNN -F 1 "RF_Shield_One_Piece" H -2445 648 50 0000 L CNN -F 2 "RF_Shielding:Laird_Technologies_BMI-S-101_13.66x12.70mm" H -3075 650 50 0001 C CNN -F 3 "~" H -3075 650 50 0001 C CNN - 1 -3075 750 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0103 -U 1 1 5EA7C41B -P -3075 1375 -F 0 "#PWR0103" H -3075 1125 50 0001 C CNN -F 1 "GND" H -3070 1202 50 0000 C CNN -F 2 "" H -3075 1375 50 0001 C CNN -F 3 "" H -3075 1375 50 0001 C CNN - 1 -3075 1375 - 1 0 0 -1 -$EndComp -Wire Wire Line - -3075 1375 -3075 1150 -$Comp -L Device:C C1 -U 1 1 5EA86A24 -P 1225 1200 -F 0 "C1" H 1110 1154 50 0000 R CNN -F 1 "20u" H 1110 1245 50 0000 R CNN -F 2 "Capacitor_SMD:C_0603_1608Metric" H 1263 1050 50 0001 C CNN -F 3 "~" H 1225 1200 50 0001 C CNN - 1 1225 1200 - -1 0 0 1 -$EndComp -$Comp L power:VBUS #PWR0104 U 1 1 5EA87497 P 4800 1675 @@ -70,210 +24,6 @@ F 3 "" H 4800 1675 50 0001 C CNN 1 4800 1675 0 -1 -1 0 $EndComp -Wire Wire Line - 875 1050 1225 1050 -Wire Wire Line - 1225 1050 1525 1050 -Connection ~ 1225 1050 -$Comp -L power:GND #PWR0105 -U 1 1 5EA8BE1F -P 1925 1675 -F 0 "#PWR0105" H 1925 1425 50 0001 C CNN -F 1 "GND" H 1930 1502 50 0000 C CNN -F 2 "" H 1925 1675 50 0001 C CNN -F 3 "" H 1925 1675 50 0001 C CNN - 1 1925 1675 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1925 1675 1925 1450 -$Comp -L power:GND #PWR0106 -U 1 1 5EA8EE64 -P 1225 1575 -F 0 "#PWR0106" H 1225 1325 50 0001 C CNN -F 1 "GND" H 1230 1402 50 0000 C CNN -F 2 "" H 1225 1575 50 0001 C CNN -F 3 "" H 1225 1575 50 0001 C CNN - 1 1225 1575 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1225 1575 1225 1350 -$Comp -L Device:C C3 -U 1 1 5EA8FE06 -P 3950 1200 -F 0 "C3" H 3835 1154 50 0000 R CNN -F 1 "20u" H 3835 1245 50 0000 R CNN -F 2 "Capacitor_SMD:C_0603_1608Metric" H 3988 1050 50 0001 C CNN -F 3 "~" H 3950 1200 50 0001 C CNN - 1 3950 1200 - -1 0 0 1 -$EndComp -$Comp -L power:GND #PWR0107 -U 1 1 5EA8FE0C -P 3950 1575 -F 0 "#PWR0107" H 3950 1325 50 0001 C CNN -F 1 "GND" H 3955 1402 50 0000 C CNN -F 2 "" H 3950 1575 50 0001 C CNN -F 3 "" H 3950 1575 50 0001 C CNN - 1 3950 1575 - 1 0 0 -1 -$EndComp -Wire Wire Line - 3950 1575 3950 1350 -$Comp -L power:+3V3 #PWR0108 -U 1 1 5EA901B8 -P 3950 750 -F 0 "#PWR0108" H 3950 600 50 0001 C CNN -F 1 "+3V3" H 3965 923 50 0000 C CNN -F 2 "" H 3950 750 50 0001 C CNN -F 3 "" H 3950 750 50 0001 C CNN - 1 3950 750 - 1 0 0 -1 -$EndComp -$Comp -L Device:R R2 -U 1 1 5EA9335D -P 3575 1425 -F 0 "R2" H 3645 1471 50 0000 L CNN -F 1 "10k" H 3645 1380 50 0000 L CNN -F 2 "Capacitor_SMD:C_0603_1608Metric" V 3505 1425 50 0001 C CNN -F 3 "~" H 3575 1425 50 0001 C CNN - 1 3575 1425 - 1 0 0 -1 -$EndComp -$Comp -L Device:R R1 -U 1 1 5EA97A46 -P 3575 1075 -F 0 "R1" H 3645 1121 50 0000 L CNN -F 1 "21.6k" H 3645 1030 50 0000 L CNN -F 2 "Capacitor_SMD:C_0603_1608Metric" V 3505 1075 50 0001 C CNN -F 3 "~" H 3575 1075 50 0001 C CNN - 1 3575 1075 - 1 0 0 -1 -$EndComp -$Comp -L Device:L L1 -U 1 1 5EA98FF3 -P 2925 1150 -F 0 "L1" V 3115 1150 50 0000 C CNN -F 1 "15uH" V 3024 1150 50 0000 C CNN -F 2 "Capacitor_SMD:C_0603_1608Metric" H 2925 1150 50 0001 C CNN -F 3 "~" H 2925 1150 50 0001 C CNN - 1 2925 1150 - 0 -1 -1 0 -$EndComp -$Comp -L Device:C_Small C2 -U 1 1 5EA9B381 -P 2600 1000 -F 0 "C2" H 2508 954 50 0000 R CNN -F 1 "100n" H 2600 1075 50 0000 R CNN -F 2 "Capacitor_SMD:C_0603_1608Metric" H 2600 1000 50 0001 C CNN -F 3 "~" H 2600 1000 50 0001 C CNN - 1 2600 1000 - -1 0 0 1 -$EndComp -$Comp -L Device:D D2 -U 1 1 5EAA32F8 -P 2925 850 -F 0 "D2" H 2925 1067 50 0000 C CNN -F 1 "D" H 2925 976 50 0000 C CNN -F 2 "Capacitor_SMD:C_0603_1608Metric" H 2925 850 50 0001 C CNN -F 3 "~" H 2925 850 50 0001 C CNN - 1 2925 850 - 1 0 0 -1 -$EndComp -Wire Wire Line - 3075 1150 3200 1150 -Wire Wire Line - 3200 1150 3200 850 -Wire Wire Line - 3200 850 3075 850 -Wire Wire Line - 2325 1150 2600 1150 -Wire Wire Line - 2600 850 2600 900 -Wire Wire Line - 2600 850 2775 850 -Wire Wire Line - 2600 850 2425 850 -Wire Wire Line - 2425 850 2425 1050 -Wire Wire Line - 2425 1050 2325 1050 -Connection ~ 2600 850 -Wire Wire Line - 2600 1100 2600 1150 -Connection ~ 2600 1150 -Wire Wire Line - 2600 1150 2775 1150 -$Comp -L Device:D_Schottky D1 -U 1 1 5EAA6CF0 -P 2600 1500 -F 0 "D1" V 2554 1580 50 0000 L CNN -F 1 "D_Schottky" V 2645 1580 50 0000 L CNN -F 2 "Capacitor_SMD:C_0603_1608Metric" H 2600 1500 50 0001 C CNN -F 3 "~" H 2600 1500 50 0001 C CNN - 1 2600 1500 - 0 1 1 0 -$EndComp -Wire Wire Line - 2600 1350 2600 1150 -Wire Wire Line - 3950 750 3950 850 -Wire Wire Line - 3575 1275 3575 1250 -Connection ~ 3575 1250 -Wire Wire Line - 3575 1250 3575 1225 -Wire Wire Line - 2325 1250 3575 1250 -Wire Wire Line - 3200 850 3575 850 -Wire Wire Line - 3575 850 3575 925 -Connection ~ 3200 850 -Connection ~ 3950 850 -Wire Wire Line - 3950 850 3950 1050 -$Comp -L power:GND #PWR0109 -U 1 1 5EAC0A50 -P 3575 1725 -F 0 "#PWR0109" H 3575 1475 50 0001 C CNN -F 1 "GND" H 3580 1552 50 0000 C CNN -F 2 "" H 3575 1725 50 0001 C CNN -F 3 "" H 3575 1725 50 0001 C CNN - 1 3575 1725 - 1 0 0 -1 -$EndComp -Wire Wire Line - 3575 1725 3575 1575 -$Comp -L power:GND #PWR0110 -U 1 1 5EAC1E6A -P 2600 1800 -F 0 "#PWR0110" H 2600 1550 50 0001 C CNN -F 1 "GND" H 2605 1627 50 0000 C CNN -F 2 "" H 2600 1800 50 0001 C CNN -F 3 "" H 2600 1800 50 0001 C CNN - 1 2600 1800 - 1 0 0 -1 -$EndComp -Wire Wire Line - 2600 1800 2600 1650 -Wire Wire Line - 3575 850 3950 850 -Connection ~ 3575 850 Wire Wire Line 1500 2525 1500 2725 Wire Wire Line @@ -307,7 +57,7 @@ U 1 1 5EB13CED P 3600 2525 F 0 "J1" H 3680 2517 50 0000 L CNN F 1 "Conn_01x02" H 3680 2426 50 0000 L CNN -F 2 "Connector_JST:JST_EH_B2B-EH-A_1x02_P2.50mm_Vertical" H 3600 2525 50 0001 C CNN +F 2 "Connector_JST:JST_EH_S2B-EH_1x02_P2.50mm_Horizontal" H 3600 2525 50 0001 C CNN F 3 "~" H 3600 2525 50 0001 C CNN 1 3600 2525 1 0 0 -1 @@ -549,7 +299,7 @@ F 3 "https://www.silabs.com/documents/public/data-sheets/cp2102n-datasheet.pdf" 1 0 0 -1 $EndComp $Comp -L Connector:USB_B_Micro J2 +L loranet2-rescue:USB_B_Micro-Connector J2 U 1 1 5EA9250C P 8100 2300 F 0 "J2" H 8157 2767 50 0000 C CNN @@ -787,7 +537,7 @@ Wire Wire Line Wire Wire Line 4925 2200 4925 2325 Connection ~ 4925 2200 -Text GLabel 875 1050 0 50 Input ~ 0 +Text GLabel 1400 1050 0 50 Input ~ 0 VIN Text Notes 4525 1025 0 50 ~ 0 Make sure this arrangement with\nbattery going into the regulator makes\nsense. maybe consider buck-boost if\nit will extent battery within protection\ncircuit limits. @@ -940,7 +690,7 @@ L Device:C C4 U 1 1 5EE222E3 P 1050 4525 F 0 "C4" H 935 4479 50 0000 R CNN -F 1 "20u" H 935 4570 50 0000 R CNN +F 1 "10u" H 935 4570 50 0000 R CNN F 2 "Capacitor_SMD:C_0603_1608Metric" H 1088 4375 50 0001 C CNN F 3 "~" H 1050 4525 50 0001 C CNN 1 1050 4525 @@ -1326,4 +1076,119 @@ F 3 "" H 5000 6525 50 0001 C CNN $EndComp Wire Wire Line 5000 6525 4875 6525 +$Comp +L power:GND #PWR0110 +U 1 1 5EAC1E6A +P 2650 1700 +F 0 "#PWR0110" H 2650 1450 50 0001 C CNN +F 1 "GND" H 2655 1527 50 0000 C CNN +F 2 "" H 2650 1700 50 0001 C CNN +F 3 "" H 2650 1700 50 0001 C CNN + 1 2650 1700 + 1 0 0 -1 +$EndComp +$Comp +L power:+3V3 #PWR0108 +U 1 1 5EA901B8 +P 2650 950 +F 0 "#PWR0108" H 2650 800 50 0001 C CNN +F 1 "+3V3" H 2665 1123 50 0000 C CNN +F 2 "" H 2650 950 50 0001 C CNN +F 3 "" H 2650 950 50 0001 C CNN + 1 2650 950 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0106 +U 1 1 5EA8EE64 +P 1550 1700 +F 0 "#PWR0106" H 1550 1450 50 0001 C CNN +F 1 "GND" H 1555 1527 50 0000 C CNN +F 2 "" H 1550 1700 50 0001 C CNN +F 3 "" H 1550 1700 50 0001 C CNN + 1 1550 1700 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0105 +U 1 1 5EA8BE1F +P 2250 1700 +F 0 "#PWR0105" H 2250 1450 50 0001 C CNN +F 1 "GND" H 2255 1527 50 0000 C CNN +F 2 "" H 2250 1700 50 0001 C CNN +F 3 "" H 2250 1700 50 0001 C CNN + 1 2250 1700 + 1 0 0 -1 +$EndComp +$Comp +L Regulator_Linear:AP2112K-3.3 U2 +U 1 1 60D148E8 +P 2250 1150 +F 0 "U2" H 2250 1492 50 0000 C CNN +F 1 "AP2112K-3.3" H 2250 1401 50 0000 C CNN +F 2 "Package_TO_SOT_SMD:SOT-23-5" H 2250 1475 50 0001 C CNN +F 3 "https://www.diodes.com/assets/Datasheets/AP2112.pdf" H 2250 1250 50 0001 C CNN + 1 2250 1150 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2550 1050 2650 1050 +Wire Wire Line + 2650 1050 2650 950 +$Comp +L Device:C C2 +U 1 1 60D45A49 +P 2650 1300 +F 0 "C2" H 2765 1346 50 0000 L CNN +F 1 "1u" H 2765 1255 50 0000 L CNN +F 2 "Capacitor_SMD:C_0805_2012Metric" H 2688 1150 50 0001 C CNN +F 3 "~" H 2650 1300 50 0001 C CNN + 1 2650 1300 + 1 0 0 -1 +$EndComp +$Comp +L Device:C C1 +U 1 1 60D46CD5 +P 1550 1350 +F 0 "C1" H 1665 1396 50 0000 L CNN +F 1 "1u" H 1665 1305 50 0000 L CNN +F 2 "Capacitor_SMD:C_0805_2012Metric" H 1588 1200 50 0001 C CNN +F 3 "~" H 1550 1350 50 0001 C CNN + 1 1550 1350 + 1 0 0 -1 +$EndComp +Wire Wire Line + 1400 1050 1550 1050 +Connection ~ 1550 1050 +Wire Wire Line + 1550 1050 1950 1050 +Wire Wire Line + 1550 1500 1550 1700 +Wire Wire Line + 2250 1700 2250 1450 +Wire Wire Line + 2650 1700 2650 1450 +Wire Wire Line + 1550 1050 1550 1150 +Wire Wire Line + 2650 1050 2650 1150 +Connection ~ 2650 1050 +$Comp +L Device:R_Small R1 +U 1 1 60DC0EF8 +P 1750 1150 +F 0 "R1" V 1500 1150 50 0000 C CNN +F 1 "100k" V 1600 1150 50 0000 C CNN +F 2 "Resistor_SMD:R_0603_1608Metric" H 1750 1150 50 0001 C CNN +F 3 "~" H 1750 1150 50 0001 C CNN + 1 1750 1150 + 0 1 1 0 +$EndComp +Wire Wire Line + 1850 1150 1950 1150 +Wire Wire Line + 1650 1150 1550 1150 +Connection ~ 1550 1150 +Wire Wire Line + 1550 1150 1550 1200 $EndSCHEMATC